• Tidak ada hasil yang ditemukan

Contoh Laporan Decoder BCD To Seven Segment | Dokumen 471 PERCOBAAN 9 ni

N/A
N/A
Protected

Academic year: 2017

Membagikan "Contoh Laporan Decoder BCD To Seven Segment | Dokumen 471 PERCOBAAN 9 ni"

Copied!
7
0
0

Teks penuh

(1)

PERCOBAAN 9

DECODER BCD TO SEVEN SEGMENT

Aziz Ramdhani

-1308030273

Dwi Adha Manjayanti

- 1308030335

Hendry Yonatan Panjaitan

- 1308030403

Kelas : Telkom 2B

Kelompok : 2

Tanggal Praktikum : 17 April 2009

Tanggal Dikumpulkan : 23 April 2009

Program Studi Teknik Telekomunikasi

Laboratorium Digital

(2)

DECODER BCD TO SEVEN SEGMENT

1. TUJUAN :

 Memahami prinsip kerja dari seven segment common Anoda dan common cathoda.

 Mempelajari prinsip kerja IC 7447 dan 7448 sebagai decoder BCD to seven segment.

2. DASAR TEORI

2.1 Seven Segment

Seven segmen biasanya digunakan untuk menampilkan data decimal : 0, 1, 2, 3, 4, 5, 6, 7, 8, dan 9.Komfigurasi yang ada untuk seven segment adalah common anoda dan common cathoda.

CA CC

CA CC

Gambar 2.1. Seven Segment Common Anoda (CA) dan Common Cathoda (CC)

2.2. Decoder BCD to seven Segment

Decoder mengambil kode-kode input BCD 4-bit dan menghasilkan tujuh output (a, b, c, d, e, f, dan g),sehinnga kode decimal dapat ditampilkan ( Tabel 2.1).

(3)

Tabel 2.1. Tabel kebenaran decoder BCD to seven segment

INPUT OUTPUT

D C B A a b c d e f g

0 0 0 0 1 1 1 1 1 1 0

0 0 0 1 0 1 1 0 0 0 0

0 0 1 0 1 1 0 1 1 0 1

0 0 1 1 1 1 1 1 0 0 1

0 1 0 0 0 1 1 0 0 1 1

0 1 0 1 1 0 1 1 0 1 1

0 1 1 0 0 0 1 1 1 1 1

0 1 1 1 1 1 1 0 0 0 0

1 0 0 0 1 1 1 1 1 1 1

1 0 0 1 1 1 1 0 0 1 1

3. ALAT – ALAT YANG DIPERGUNAKAN

NO Alat – alat dan komponen Jumlah

1 Seven segment Common Anoda (CA) Seven Segment Common Cathoda (CC) IC 7447 (BCD to 7-segment Decoder/Driver)

IC 7448 (BCD to-segment Decoder/Driver)

1 1 1 1

2 Power Supply DC 1

3 Multimeter 1

4 Logic Probe 1

5 Resistor 220 Ω 7

6 LED 7

7 Protoboard 1

8 Kabel – kabel penghitung Secukupnya

4. LANGKAH – LANGKAH PERCOBAAN

Langkah – langkah dalam melakukan percobaan adalh sebagai berikut : Identifikasi kaki seven segment common anoda dan common

cathoda

1. Atur tegangan power supply sebesar 5 Volt. 2. Buat rangkaian seperti gambar 4.1.

3. Atur input A ke ground

4. Hubungan terminal Y ke salah satu kaki segment, amati dan catat kondisi segment

(4)

U1

IC 7447 BCD to Seven Segment U1

IC 7447 BCD to Seven Segment

U3

IC 7448 BCD to Seven Segment U1

IC 7447 BCD to Seven Segment

U3

IC 7448 BCD to Seven Segment U1

IC 7447 BCD to Seven Segment

U3

IC 7448 BCD to Seven Segment U1

IC 7447 BCD to Seven Segment

U3

IC 7448 BCD to Seven Segment U1

IC 7447 BCD to Seven Segment

U3

IC 7448 BCD to Seven Segment U1

IC 7447 BCD to Seven Segment

U3

IC 7448 BCD to Seven Segment U1

IC 7447 BCD to Seven Segment

U3

IC 7448 BCD to Seven Segment

Gambar 4.1. Sevent segment Common Anoda (CA) dan Common Catoda (CC)

7. Ulangi langkah 4 dan 5

8. Ulangi langkah 1 s.d 7 untuk seven segment yang lain.

9. Buat rangkaian decoder to seven segment mempergunakan IC 7447 dan IC 7448 seperti gambar 4.2. dan gambar 4.3.

10.berikut input enable LT,BI/RBO dan RBI serta input DCBA sesuai tabel 6.1 dan tabel 6.2.

11.Amati dan catat kondisi segment a, b, c, d, e, f, g serta tampilan seven segment lengkap data pada tabel 6.1 dan tabel 6.2.

5. ANALISA

Dari hasil percobaan yang kami lakukan, dapat diketahui bahwa :  Pada seven segment common anoda akan menampilkan output

(5)

apabila pada kaki common anodanya dihubungkan pada VCC dan keluarannya dihubungkan pada Ground.

 Pada seven segment common catoda akan menampilkan output

(a,b,c,d,e,f, dan g) sehingga kode desimal dapat ditampilkan apabila pada kaki common catodanya dihubungkan pada Ground dan keluarannya dihubungkan pada VCC.

 Pada IC 7447 dapat digunakan untuk seven segment anoda dan

akan menampilkan output (a,b,c,d,e,f, dan g) sehingga kode desimal dapat ditampilkan apabila outputnya mempunyai logik 0,karena IC 7447 mempunyai fungsi aktif low.

 Pada IC 7448 dapat digunakan untuk seven segment catoda dan

akan menampilkan output (a,b,c,d,e,f, dan g) sehingga kode desimal dapat ditampilkan apabila outputnya mempunyai logik 1,karena IC 7448 mempunyai fungsi aktif high.

6. PERTANYAAN DAN TUGAS

1. Bandingkan perbedaan seven segment common anoda dan common cathoda !

Pada seven segment common anoda akan menampilkan output

(a,b,c,d,e,f, dan g) sehingga kode desimal dapat ditampilkan apabila pada kaki common anodanya dihubungkan pada VCC dan keluarannya

dihubungkan pada Ground,sedangkan pada seven segment common catoda akan menampilkan output (a,b,c,d,e,f, dan g) sehingga kode desimal dapat ditampilkan apabila pada kaki common catodanya dihubungkan pada Ground dan keluarannya dihubungkan pada VCC. 2. Buatlah rangkaian decoder BCD to seven segment berdasarkan table kebenaran 2.1 dengan mempergunakan gerbang logika !

(Jawabannya di lembar selanjutnya)

3. Bandingkan perbedaan antara IC 7447 dan IC 7448 !

(6)

4. Buatlah kesimpulan dari percobaan ini !

Dari percobaan yang telah kami lakukan, dapat disimpulkan bahwa:

 Pada rangkaian decoder BCD to seven segment dapat dikerjakan

dengan memeprgunakan gerbang logika.

 IC 7447 mempunyai fungsi aktif low seperti pada seven segment

common anoda.

 IC 7448 mempunyai fungsi aktif high seperti pada seven segment

common cathoda.

 LT, RBO/BI, RBI mempunyai prinsip kerja seperti enable pada IC

Multiplexer 74153 dan 74151.

(7)

U6A 74S04N U6B

74S04N U6C

74S04N U6D

74S04N

A B

C D

U1A74S11N

U1B 74S11N

U1C 74S11N

U2A74S11N

U3A74S08N

U3B74S08N

U2B 74S11N

U2C 74S11N

U4A74S11N U3C74S08N

U3D74S08N

U4B 74S11N

U4C74S11N

U5A 74S11N

U7A74LS21N

U5B 74S11N

U5C74S11N

U8A 74S11N

U8B 74S11N

U8C 74S11N

U9A 74S11N

U9B74S11N

U9C 74S11N

U10A 74S11N

U10B74S11N U11A

74S32N

U11B 74S32N

U11C 74S32N

U11D 74S32N

U12A 74S32N

U12B 74S32N

U12C 74S32N

U12D 74S32N

U13A 74S32N

U13B 74S32N

U13C 74S32N

U13D 74S32N

U14A 74S32N

U14B 74S32N

U14C 74S32N

U14D

74S32N

U15A

74S32N

R1

220Ω

R2

220Ω

R3

220Ω

R4 220Ω

R5

220Ω

R6 220Ω

R7 220Ω

U15B 74S32N

LED1

LED2

LED3

LED4

LED5

LED6

Gambar

Gambar 2.1. Seven Segment Common Anoda (CA) dan Common
Tabel 2.1. Tabel kebenaran decoder BCD to seven segment
Gambar 4.1. Sevent segment Common Anoda (CA) dan Common Catoda (CC)

Referensi

Dokumen terkait

Berdasarkan hasil penelitian pada pemberian dosis 0.067mg/ekor/hari, morfologi embrio dan anak yang dihasilkan tampak normal, jumlah embrio dan anak tikus sama dengan

Suplai  darah  ke  otak  dapat  berubah  pada  gangguan  fokal  ( thrombus,  emboli ,  perdarahan dan  spasme vaskuler )  atau  oleh  karena  gangguan  umum  (

This document contains certain financial information and results of operation, and may also contain certain projections, plans, strategies, and objectives of Indosat, that are

Sedangkan meurut ahli lain malaria merupakan suatu penyakit infeksi akut maupun kronik yang disebakan oleh infeksi Plasmodium yang menyerang eritrosit dan

Secara umum infeksi pada manusia disebabkan oleh bakteri.Obat yang mengendalikan dan membasmi bakteri ini disebut antibiotik.Levofloxacin adalah antibiotik yang menghentikan

“A YO, MENARI JAIPONG DENGAN NYI ITEUNG !” (Cerita Bergambar Pull-up dan Flap-book Sebagai Pengenalan Tari

Melihat prospek usaha industri perbankan Indonesia tersebut, Perseroan berusaha sebaik-baiknya untuk meraih setiap peluang dan potensi yang tercipta. Perseoan telah

Pola pengelolaan keuangan pada BLU merupakan pola pengelolaan keuangan yang memberikan fleksibilitas berupa keleluasaan untuk menerapkan praktik-praktik bisnis yang sehat untuk