• Tidak ada hasil yang ditemukan

Model Pengaturan Suhu Dan Kelembaban Pada Ruang Jamur Tiram Menggunakan Sensor Dht11 DanMikrokontroler ATMega328

N/A
N/A
Protected

Academic year: 2021

Membagikan "Model Pengaturan Suhu Dan Kelembaban Pada Ruang Jamur Tiram Menggunakan Sensor Dht11 DanMikrokontroler ATMega328"

Copied!
8
0
0

Teks penuh

(1)

1

Model Pengaturan Suhu Dan Kelembaban Pada Ruang

Jamur Tiram Menggunakan Sensor Dht11 DanMikrokontroler

ATMega328

Andika Abdullah., Prof. Dr. Ing. Soewarto Hardhienata, Andi Chairunnas, S.Kom, M.Pd email : andikandik777@gmail.com

Program Studi Ilmu Komputer FMIPA Universitas Pakuan

Jamur tiram putih adalah salah satu jamur kayu yang dapat dikonsumsi serta mempunyai kandungan gizi tinggi seperti karbohidrat, kalsium, protein, zat besi, lemak, kalium, dan fosfor sangat diminati oleh masyarakat sebagai sayuran favorit. Dalam budidaya jamur tiram perlu perawatan khusus karena jamur tiram rentan terhadap penyakit sehingga pertumbuhan jamur akan terhambat, salah satunya suhu dan kelembaban yang dapat mempengaruhi pertumbuhan jamur. Masalah yang dihadapi ialah budidaya lebih sering memperkirakan kondisi suhu dan kelembaban hanya dengan merasakan panas di dalam ruangan. Dengan perkembangan teknologi yang telah maju dan pesat dalam perkembangan dunia elektronika ini dimanfaatkan dalam pembuatan model pengatur suhu dan kelembaban ruangan jamur tiram menggunakan mikrikontroler ATMega328 dan sensor Dht11. Alat ini akan mempermudah budidaya untuk mengetahui nilai suhu dan kelembaban didalam ruangan dan untuk mengatur suhu dan kelembaban didalam ruangan agar tetap stabil dengan kipas dan lampu menyala dan mati secara otomatis agar dalam pertumbuhan jamur tiram tumbuh dengan kualitas yang baik.

Kata Kunci : sensor DHT pengontrol suhu dan kelmbaban ruangan, Arduino Uno

1. Pendahuluan

Kesehatan merupakan salah satu kebutuhan hidup manusia. Manusia membutuhkan kesehatan sebagai asuransi khusus untuk melakukan segala macam aktivitas keseharianya. Salah satu pembangunan dari kesehatan tersebut adalah kebutuhan gizi dan nutrisi yang mencukupi. Gizi dan nutrisi yang terpenuhi dapat membantu manusia dalam menjaga kondisi kesehatannya.

Kebutuhan gizi dan nutrisi dapat diperoleh dari banyak sumber. Sumber tersebut adalah dari makanan yang dapat berupa vitamin maupun makanan-makanan alami. Makanan alami merupakan salah satu sumber gizi terbaik karena dapat memberikan gizi dan nutrisi secara alami tanpa perlu melalui proses-proses pengolahan kimia.

Berdasarkan latar belakang di atas, Makanan alami dapat diperoleh dari banyak hal. Salah satu sumber alami yang dapat dikonsumsi secara langsung adalah melalui tumbuhan. Beberapa tumbuhan memiliki kandungan gizi dan nutrisi yang baik apabila dikonsumsi oleh manusia. Salah satu tumbuhan yang memiliki kandungan gizi nutrisi yang baik adalah jamur tiram. Jamur tiram (Pleurotus ostreatus) adalah jenis jamur kayu yang memiliki kandungan nutrisi dan gizi lebih tinggi dibandingkan dengan jamur lainya. Jamur tiram mengandung 18 macam amino yang dibutuhkan oleh manusia dan tidak mengandung kolestrol.

Jamur tiram memiliki pertumbuhan yang sangat dipengaruhi oleh kondisi lingkungan disekitarnya. Jarum tiram

(2)

2 biasanya tumbuh berkembang dibawah pohon berdaun lebar atau dibawah tanaman berkayu.Jamur tiram dapat tumbuh dengan baik diketinggian hingga 600 m dpl.Idealnya, daerah tersebut memiliki kisaran suhu 15-30º C dan kelebihan 80-90%. Pertumbuhan tidak membutuhkan intesitas cahaya yang tinggi dan berkembang baik pada media tanaman yang agak masam, yakni pada PH 5.5-7. Di daerah dataran rendah (suhu ±30º C) diperlukan otomatisasi suhu dan kelembaban pada ruang jamur tiram karena penyiraman pada ruangan budidaya jamur dengan menyemprotkan butiran-butiran air dipermukaan tanah. Hal ini kurang efisien karena menguras tenaga, waktu, dan air untuk melembabkan ruangan dengan cara menyemprotkan air secara otomatis dengan waktu yang ditentukan.(agromedia pustaka 2008). Suhu

Suhu adalah besaran numerik untuk mengetahui derajat panas atau dingin pada suatu benda. Suhu juga dapat didefinisikan sebagai suatu besaran termodinamika yang menunjukkan besarnya energi kinetik translasi rata-rata molekul dalam sistem gas. Suhu juga disebut temperatur dan disebut temperature dalam bahasa Inggris.

Kelembaban

Kelembaban udara relatif (atau RH, Relative Humidity), adalah rasio antara tekanan uap air aktual pada temperatur tertentu dengan tekanan uap air jenuh pada temperatur tersebut. Pengertian lain dari Kelembapan adalah perbandingan antara jumlah uap air yang terkandung dalam udara pada suatu waktu tertentu dengan jumlah uap air maksimal yang dapat ditampung oleh udara tersebut pada tekanan dan temperatur yang sama.

Sensor DHT11

DHT11 adalah sensor digital yang dapat mengukur suhu dan kelembaban udara di sekitarnya. Sensor ini sangat mudah digunakan bersama dengan Arduino. Memiliki tingkat stabilitas yang sangat baik serta fitur kalibrasi yang sangat akurat. Koefisien kalibrasi disimpan dalam OTP program memory, sehingga ketika internal sensor mendeteksi sesuatu, maka module ini menyertakan koefisien tersebut dalam kalkulasinya.

Gambar 1. sensor DHT11 GSM Shield V.2

GSM Shield atau GPRS (General Packet Radio Service) Shield merupakan produk untuk keperluan wireless Arduino Anda. Beroperasi pada frekuensi GSM/GPRS 850/900/1800/1900MHz untuk keperluan pengiriman suara, SMS, dan data dengan konsumsi data yang rendah. Shield GPRS ini dikendalikan menggunakan AT commands (GSM 07.07 ,07.05 dan SIMCOM enhanced AT Commands). Kompatible dengan board Arduino UNO, Duemilanove, Seeeduino, dan Mega, dan Arduino kompatible lainnya.

(3)

3

Ardiuno Uno

Arduino Uno adalah board mikrokontroler yang di dalamnya terdapat mikrokontroler, penggunaan jenis mikrokontrolernya berbeda – beda tergantung spesifikasinya. Pada Arduino Uno diguanakan mikrokontroler berbasis ATmega 328. Memiliki 14 pin input dari output digital dimana 6 pin input tersebut dapat digunakan sebagai output PWM dan 6 pin input analog, 16 MHz osilator kristal, koneksi USB, jack power, ICSP header, dan tombol reset.

Gambar 3. Arduino Uno Lampu

Lampu pijar atau bola lampu pijar adalah suatu perangkat yang menghasilkan cahaya dengan memanaskan kawat filamen sampai suhu tinggi sampai bersinar. Filamen panas dilindungi dari oksidasi di udara dengan pelindung yang terbuat dari kaca yang diisi dengan gas inert atau dievakuasi. Dalam sebuah lampu halogen, evaporasi filamen dicegah oleh proses kimia yang redeposits logam uap ke filamen untuk memperpanjang keaktivannya. Bola lampu disuplai dengan arus listrik dengan feed-melalui terminal atau kawat yang melekat pada kaca. Lampu Kebanyakan digunakan dalam soket yang memberikan dukungan mekanis dan sambungan listrik.

Gambar 4. Lampu Kipas

Kipas adalah suatu alat yang berfungsi untuk menggerakkan udara agar berubah menjadi angin, beberapa fungsinya antara lain adalah untuk pendingin udara, penyegar udara, ventilasi (exhaust fan), dan pengering (umumnya memakai komponen penghasil panas). Kita dapat menemukan kipas angin pada peralatan rumah tangga di rumah, misalnya yang ada di dalam alat penyedot debu/vacuum cleaner dan beberapa ornamen untuk dekorasi ruangan.

Gambar 5. Kipas Fan 2. Metode

Penelitian ini dilaksanakan mulai Bulan Februari 2016 sampai April 2016. Penelitian dilaksanakan di Peternakan Mang Kardi Desa Sukajaya Rt.02/05 Kecamatan Sukajaya Kabupaten Bogor. Bahan Penelitian :

1. Arduino Uno R3 (ATmega328) 2. Sensor DHT11

3. Fan

(4)

4 5. Driver Motor L298N 6. Kabel AWG-22 7. Lampu 8. GSM ShieldV.1 9. LCD 16x2 10. Kartu SIM 11. Dimmer 12. Kumbung jamur 12cm x 6cm . Alur Sistem

Sistem ini menggunakan Arduino UNO yang berkomunikasi dengan komunikasi serial melalui GSM shield. Input sistem berupa sensor DHT11 yang berfungsi sebagai komponen untuk memonitor suhu dan kelembaban kandang ayam broiler. Kontrol sistem menggunakan Mobile Phone yang terintegrasi dengan Arduino UNO melalui komunikasi GSM Shield dengan pesan sms. Output sistem yaitu berupa kipas, lcd dan lampu.

Gambar 6. Sketsa Alur Sistem Model pemantau suhu dan kelembaban kumbung jamur ini memiliki prinsip kerja dengan memberi tegangan sebesar 9V ke modul microcontroller, kemudian indikator pada modul GSM shield akan aktif. Setelah indikator pada GSM shield aktif, GSM shield siap digunakan untuk kirim sms informasi suhu

dan kelembaban, data suhu dan kelembaban akan terlihat pada layar lcd 16x2 jika sensor telah melakukan kalibrasi dan kemudian mendeteksi suhu dan kelembaban. Jika suhu mencapai range yg telah ditentukan dan terdeteksi panas maka kipas akan otomatis berputar cepat sesuai dengan keadaan suhu dan kelembaban saat itu. Jika suhu terdeteksi Normal maka kipas akan berputar lebih lambat, sedangkan jika suhu terdeteksi dingin maka kipas akan off. Sedangkan sebaliknya jika suhu kandang dingin maka lampu otomatis akan menyala. Informasi kondisi suhu dan kelembaban akan dikirim ke mobile phone via sms menggunakan gsm shield v1. Mobile phone akan menerima informasi suhu dan kelembaban dan dapat mengontrol nyala kipas dan lampu.

Penerapan Algoritma Pada Sistem

Dalam membuat model pengatur suhu dan kelembaban kandang ayam broiler ini ini menggunakan pengaturan kontrol PWM (Pulse Width Modulation) sebagai berikut :

1. Penerapan Kontrol PWM (Pulse Width Modulation) Komponen penggerak yang diimplementasikan pada model pengatur suhu dan kelembaban kandang adalah kipas DC, dimana untuk mengatur kecepatan putaran kipas DC perlu diterapkan kontrol PWM (Pulse Width Modulation) yang berfungsi sebagai pengatur lebar pulsa (Duty Cycle) direpsentasikan dalam benttuk % (persentase) dengan range 0% sampai dengan 100%. Fitur PWM (Pulse Width Modulation) pada ATMega328 memiliki resolusi sebesar 8 bit = 28 jadi 100% dari PWM bernilai 256, dengan range 0-255. Kondisi PWM yang diimplementasikan pada model pengatur suhu dan

kelembaban kandang adalah

“kencang”=100%, “pelan”=50%, dan “mati”=0

(5)

5 Gambar 7. Penewaran PWM

Desain Mekanis

1. Penempatan komponen-komponen elelktonik dibuat semaksimal mungkin untuk menghasilkan kinerja sistem yang optimal

2. Massa keseluruhan sistem dibuat seminimal mungkin, karena itu model pengatur suhu dan kelembaban kandang ayam broiler dibuat dengan menggunakan bahan dasar akrilik.

3. Bentuk dan ukuran model pengatur suhu dan kelembaban kandang ayam broiler dibuat dengan bentuk persegi panjang untuk menghasilkan bentuk akhir yang ideal

Gambar 8. Desain Mekanik

Desain Elektrik

Dalam desain sistem elektrik terdapat beberapa hal yang harus diperhatikan, antara lain :

1. Sumber catu daya

Catu daya yang akan digunakan pada rangkaian model pengatur suhu dan kelembaban kandang ayam ini menggunakan dua sumber catu daya, seperti berikut :

a. Sumber catu daya sebesar 12 VDC digunakan pada modul driver l298n, dimana modul mikrokontroler model pengatur suhu kandang bekerja pada arus 6 VDC selanjutnya sumber catu daya akan menyuplai arus ke masing-masing komponen. Tegangan yang masuk ke sensor DHT11 dan GSM Shield sebanyak 5 VDC.

2. Microcontroller

Microcontroller yang akan digunakan dalam penelitian ini, menggunakan modul microcontroller Arduino UNO R3 IC mikrokontroler ATMega328 untuk model pengatur suhu dan kelembaban kandang

3. Desain sistem kontrol

Desain sistem kontrol untuk mendukung sistem ini menggunakan software Arduino IDE untuk menerjemahkan listing program dalam bentuk bahasa pemrograman C.

(6)

6

Perancangan Hardware

Gambar 10. Desain Sistem Keseluruhan

Desain Perangkat Lunak

Pembuatan perangkat lunak terdiri dari desain software pada model sistem keamanan ruangan

Gambar 11. Flow Chart Sistem

3. Hasil Pembahasan

Bagian utama pada model sistem pengatur suhu dan kelembaban kumbung jamur terdapat sensor DHT 11 yang terintegrasi dengan mobiletphone yang berfungsi sebagai komponen untuk memonitor suhu dan kelembaban di dalam ruangan.

.

Gambar 12. Bagian Utama Sistem Uji coba struktural dilakukan untuk menguji apakah rangkaian sistem yang dibangun sudah sesuai berdasarkan jalur-jalur pada konsep sistem yang direncanakan. Pada tahap ini dilakukan pengujian yang bertujuan untuk mengetahui apakah modul-modul elektronik sudah terhubung dengan benar sehingga sistem dapat berjalan berfungsi dengan baik dan memiliki performa serta fungsi yang sesuai dengan rancangan. Tabel 1. Pengujian Struktural

N

o Komponen Sistem Terhubung Dengan Keterangan 1 Arduino Uno R3 (Arduino 1) DHT11 Pin 2 Terhubung Motor

Driver Pin 8,9,10 Terhubung 2

Arduino Uno R3 (Arduino

2)

Servo Pin 7 Terhubung

LCD Analog 4 dan Analog 5 Terhubung

DHT11 Pin 2 Terhubung

Pada pengujian Arduino UNO R3 dilakukan dengan cara memberikan tegangan 12 V. Setelah itu output tegangan dicek pada pin 5V yang dihubungkan dengan phobe positif dan pin GND yang dihubungkan dengan negative pada multimeter.

(7)

7 Gambar 13. Pengujian Arduino

Tabel 2. Pengujian Arduino Uno Tegangan Input Output Tegangan

12V 4.92 VDC

Dari pengujian tersebut tegangan input 12V berasal dari daya adaptor. Output dari Arduino UNO mengeluarkan daya sebesar 5V dimana komponen elektronik seperti sensor DHT 11 dan Lcd dapat bekerja dengan daya 3V sampai 5V, output tegangan yang dikeluarkan Arduino UNO dikonversi oleh IC regulator untuk menyesuaikan tegangan yang dibutuhkan oleh komponen elektronik.

Pengujian sensor dht 11 dilakukan dengan cara memberikan tegangan 12V dan 0 V ke Arduino UNO yang ada pada model pengatur suhu dan kelembaban dan menghubungkan pin 2, GND, dan VCC pada sensor dht 11. Setelah itu output tegangan dicek pada pin dht 11 yang dihubungkan dengan phobe positif dan pin GND yang dihubungkan dengan negatif multimeter.VCC dan pin GND yang dihubugkan dengan phobe positive negative multimeter.

Gambar 14. Pengujian DHT11 Tabel 3. Pengujian Sensor E18-D80NK

Tegangan Arduino Input Tegangan E18-D80NK Keterangan 5V 5VDC Aktif 0V 0VDC Tidak Aktif

Pada pengujian GSM Shield dilakukan dengan cara memberikan tegangan 5V dan 0V keArduinoUNO dan menghubungkan seluruh pin pada arduino uno. Setelah itu output tegangan dicek pada pin GSM Shield yang dihubungkan dengan phobe positif dan pin GND yang dihubungkan dengan negatif pada multimeter.

Gambar 15. Pengujian GSM Shield Tabel 4. Pengujian GSM Shield

Tegangan

Arduino InputGSM Shield Tegangan Keterangan

5V 5VDC Aktif

0V 0VDC Tidak Aktif

Uji Coba Validasi Gsm Shield

Uji coba dilakukan dengan menguji kecocokan sistem yang telah dibuatdengan

(8)

8 memvalidasi pada masing-masing blok rangkaian yang bertujuan untuk mendapatkan hasil yang sesuai.

Tabel 5. Uji Coba Validasi N o Pengujia n Hasil yang Diharapk an Hasil Pengujia n Stat us 1. Saat suhu mengalam i perubahan Paired dengan mobilepho ne Gsm shield mengirim sms setiap perubaha n nilai suhu Aktif 2. Connectgs m shield dengan kondisi led indikator pada gsm shield tidak menyala Tidak Pairing dengan mobilepho ne tidak dapat mengirim pesan sms Tida k Aktif 3. Suhu & kelembab an pada kondisi tertentu ATMega 328 mengirim data yang di dapat oleh sensor DHT11 Gsm shield mengirim data suhu & kelembab an saat ini Aktif 4. Power key on tidak ditekan Tidak terhubung dengan mobilepho ne Tidak dapat mengirim data suhu & kelembab an Tida k Aktif 4. Kesimpulan

Model sistem pengatur suhu dan kelembaban ini menggunakan dua Arduino Uno R3 ATMega328, GSM Shield, Relay, Motor Driver l298N, Sensor DHT11, Kipas dan mobilephone.

Input sistem menggunakan sensor DHT11 yang akan ditampilkan pada lcd 16x2 dan akan dikirim via pesan menggunakan gsm shield sebagai monitoring suhu dan kelembaban ruang jamur.

Terdapat tiga kondisi ketika arduino menerima inputan dari gsm shield yaitu ”suhu panas & kelembaban kering”, ”suhu normal & kelembaban normal”, ”suhu dingin & kelembaban basah”.Jarak jangkauan sensor dht11 dengan transmisi

sinyal maksimal 2 m, sedangkan pada gsm shield jarak jangkauan tidak terbatas dan hanya dibatasi oleh keadaan sinyal.

Output sistem berupa kipas dan lampu on/off dan informasi suhu dan kelembaban kandang yang ditampilkan pada lcd dan via pesan singkat sms.

DAFTAR PUSTAKA

SeeedStudio.2013. Pengertian Jamur Tiram

Arif Sulaiman. 2012. Arduino

Mikrokontroler Pro

(https://www.balai elektronika.com 15 Januari 2016).

Arif Zakariya. 2012. Komunikasi Serial Mikrokontroler (http://arifzakariya.blog.ugm.ac.id 13 Februari 2016). SeeedStudio.2008. GSM SHIELD V.2, Amazon. Hasan B J. 2013. Sejarah KipasAngin(https://perawatanrtdonto.blog spot.co.id/2013/08/sejarah-kipas-angin.html). .

Gambar

Gambar 3. Arduino Uno  Lampu
Gambar 6. Sketsa Alur Sistem  Model  pemantau  suhu  dan  kelembaban  kumbung  jamur  ini  memiliki  prinsip  kerja  dengan  memberi  tegangan  sebesar  9V  ke  modul  microcontroller,  kemudian  indikator  pada  modul  GSM  shield  akan  aktif
Gambar 9. Desain Elektrik Fritzing
Gambar 10. Desain Sistem Keseluruhan
+3

Referensi

Dokumen terkait

Adapun parameter-parameter yang dikalibrasi ditentukan berdasarkan ketentuan Tabel 3 dan hasil simulasi yang dilakukan dengan nilai awal parameter dari IFAS

Untuk meminimalisir pelanggaran terhadap rambu lalu lintas dan meningkatkan pemahaman tentang rambu-rambu lalu lintas, bagi pemuda mulai dari usia dini yang

Hasil penelitian ini adalah (1) Interaksi perlakuan pupuk organik dengan pupuk KP berpengaruh terhadap variabel jumlah daun umur 28 HST, waktu munculnya bunga,

Berdasarkan penelitian yang telah dilakukan, didapatkan hasil bahwa konsentrasi substrat tepung kulit pisang kepok dan kecepatan pengadukan (agitasi) berpengaruh

Penelitian ini bertujuan untuk meringkas multi berita menggunakan metode pembobotan berdasarkan Trending Issue dengan tetap mempertimbangkan fitur penting berita,

Hasil menunjukkan bahwa terjadi penurunan tekanan darah sistolik dan diastolik pada lansia di Rumah Pelayanan Lanjut Usia Budi Dharma Yogyakarta setelah diberikan

Nilai atribut merupakan suatu data yang aktual atau informasi yang disimpan pada semua atribut didalam suatu entity atau relationship. Gambar

Pelayanan medik hiperbarik merupakan pengobatan oksigen hiperbarik yang dilaksanakan di sarana pelayanan kesehatan dengan mengunakan Ruang Udara Bertekanan Tinggi