• Tidak ada hasil yang ditemukan

DESAIN TRAINER DASAR SISTEM DIGITAL TERINTEGRASI (TDSDT) BERBASIS PLD (PROGRAMMABLE LOGIC DEVICE )

N/A
N/A
Protected

Academic year: 2021

Membagikan "DESAIN TRAINER DASAR SISTEM DIGITAL TERINTEGRASI (TDSDT) BERBASIS PLD (PROGRAMMABLE LOGIC DEVICE )"

Copied!
5
0
0

Teks penuh

(1)

A2-98

DESAIN TRAINER DASAR SISTEM DIGITAL TERINTEGRASI (TDSDT)

BERBASIS PLD (PROGRAMMABLE LOGIC DEVICE )

Arief Budijanto, Chirul Anwar, Nizar Syaifudin Lab. Simulasi & Sistem Digital Teknik Elektro ITATS

ABSTRAK

Trainer Dasar Sistem Digital Terintegrasi (TDSDT) adalah suatu peralatan laboratorium yang digunakan untuk praktikum dasar sistem digital yang berbasiskan komponen digital TTL maupun PLD (programmable logic Device). Trainer ini terdiri dari modul-modul yang terintegrasi dalam satu papan PCB. Modul-modul tersebut terdiri dari modul input dan output. Modul input terdiri dari keypad, saklar push button dan toggle, sedangkan modul output terdiri dari LED, Seven Segment, LED Dot Matrix, Motor DC dan Motor Stepper. Dalam TDSDT ini dilengkapi dengan rangkaian pembangkit clock manual dan kontinyu yang digunakan untuk sinkronisasi kerja rangkaian digital yang telah dirancang. TDSDT ini didalam pelaksanaan praktikum dapat digunakan untuk percobaan merancang rangkaian kombinasional maupun sekuensial yang dimplementasikan dalam komponen digital jenis TTL maupun PLD. Untuk implementasi rangkaian digital pada PLD dalam praktikum digunakan komponen-komponen tipe PALCE22V10, PALCE20V8, PALCE16V8, PLD22V10 dan PLD20V10 dan dibantu dengan perangkat lunak WARP R4.2. Perangkat lunak tersebut digunakan untuk momodelkan rangkaian digital dalam kode VHDL. Kemudian kode VHDL tersebut dikompilasi untuk proses verifikasi terhadap kesalahan sintaks dari kode VHDL tersebut. Setelah proses kompilasi langkah selanjutnya membuat testbench dalam bentuk diagram waktu yang digunakan untuk menguji terjadinya kesalahan logika dari rancangan tersebut. Proses selanjutnya melakukan download file *.jed pada komponen PLD menggunakan alat universal programmer. Dengan alat TDSDT ini diharapkan dapat menambah kompetensi dalam pembelajaran mata kuliah sistem digital.

Kata kunci : Trainer, Sistem Digital, PLD, VHDL

1. Pendahuluan

Fungsi yang diberikan oleh masing-masing

chip TTL 74XX-series adalah fixed dan tidak bisa

dikhususkan untuk menyesuaikan pada situasi desain tertentu, Fakta ini, menyebabkan pembatasan penggabungan dari masing-masing chip yang berisi hanya sedikit gerbang logika, sehingga membuat chip ini tidak efisien untuk membangun rangkaian logik yang besar. Hal itu akan mungkin jika membuat chip yang berisi sejumlah rangkaian logika yang besar dengan suatu struktur yang tidak fixed. Chip seperti itu yang pertama diperkenalkan pada tahun 1970-an dan disebut Programmable Logic Device (PLD).

PLD adalah suatu general-purpose chip yang dapat meng-implementasikan rangkaian logika. Ada beberapa jenis PLD yaitu PAL (Programmable Array

Logic), GAL (Generic Array Logic), EPLD (Erasable PLD), PLA (Programmbable Logic Array), CPLD ( Complex PLD), FPGA (Field Programmable Gate Array). Suatu PLD dapat dipandang sebuah Black Box yang berisi gerbang logika dan saklar yang

dapat di program, seperti digambarkan pada Gambar 1. Saklar yang diprogram mengijinkan gerbang logika di dalam PLD untuk dihubungkan bersama-sama untuk menerapkan apapun rangkaian logika yang diperlukan.(Stephen B., Z. Vranesic,2000)

Gbr 1. PLD sebagai Black Box []

Dalam penelitian ini akan dibuat suatu desain alat trainer dasar sistem digital yang terintegrasi dengan modul-modul rangkaian percobaan dalam satu papan PCB (Printed Circuit Board) yang menggunakan komponen utama jenis PLD. Sehingga nantinya alat ini dapat bermanfaat bagi dunia pendidikan, khususnya dibidang teknik elektro dan teknik komputer di perguruan tinggi maupun Sekolah Menengah Kejuruan (SMK).

2. PLD (Programmable Logic Deivice)

PLD termasuk kelompok PLD dengan programmable AND-fixe OR yang merupakan EEPLD (Electrically Erasable PLD) yaitu jenis PLD

yang dapat dihapus secara listrik. Pada PLD, penghapusan terjadi dalam skala waktu yang relatif singkat yaitu sekitar 50 ms. Beberapa keuntungan jika menggunakan komponen PLD, yaitu PLD difabrikasi dengan teknologi very high speed

E2CMOS yang mempunyai kemampuan uji dan

Logic Gate And Programmbale Switchs Outputs (Logic Functions) Input (Logic Variable)

(2)

keandalan tinggi, komsumsi daya rendah dan mempunyai OLMC (Output Logic Macro Cell) sehingga para perancang dapat membuat konfigurasi output yang diinginkan. Dalam penghapusannya jauh lebih cepat dibandingkan dengan EPLD yang menggunakan sinar ultra violet.

Gbr 2. Arsitektur PLD jenis GAL (Generic Array Logic)

Terdapat beberap jenis sesuai dengan kapasitas dari susunan OLMC-nya yaitu GAL16V8,

GAL16V8A, GAL20V8, GAL22V10G dan masih

banyak komponen PLD yang lain. Perbedaan mendasar dari komponen-komponen PLD ini adalah terletak pada jumlah pin yang tersedia, jumlah gerbang AND, dan jumlah OLMC yang dapat diprogram dan juga tersedia bermacam-macam

product term. Masing-masing macrocell dapat

diprogram sendiri-sendiri sesuai dengan konfigurasi

pin yang diinginkan.

Gbr 3. Diagram Macro Cell GAL 22V10

3. Desain Perangkat Keras

Gambar 4. menunjukkan diagram blok dari sebuah TDSDT. Komponen utama dari TDSDT terdiri dari Modul input, modul output dan PLD sebagai unit pengolah.

Gbr 4. Diagram blok Perangkat keras TDSDT

 Modul Keypad

Keypad sering digunakan sebagai suatu input pada beberapa peralatan yang berbasis mikroprosessor atau mikrokontroller. Keypad sesungguhnya terdiri dari sejumlah saklar, yang terhubung sebagai baris dan kolom dengan susunan seperti yang ditunjukkan pada Gambar 5. Agar dapat melakukan scanning keypad, maka port mengeluarkan salah satu bit dari 3 bit yang terhubung pada kolom dengan logika low “0” dan selanjutnya membaca 4 bit pada baris untuk menguji jika ada tombol yang ditekan pada kolom tersebut. Sebagai konsekuensi, selama tidak ada tombol yang ditekan, maka mikrokontroller akan melihat sebagai logika high “1” pada setiap pin yang terhubung ke baris.

 Modul Push Button

Pada Gambar 6. tersebut tampak rangkaian push button, bila saklar ditekan maka port sesuai dengan bit tersebut akan mendapat logika low ‘0’ dan sebaliknya bila saklar tidak ditekan maka port tersebut akan mendapat logika high ‘1’.

Gbr 6. Rangkaian Interface push button

Gbr 5. Rangkaian dasar keypad 4x4

 Modul Saklar

Pada gambar 9. merupakan modul saklar, dimana saklar-saklar tersebut dimasukan ke port input PLD. Saklar tersebut jika ditutup maka input port berlogika ’0’ dan sebaliknya jika saklar dibuka input port akan berlogika ’1’.

Gbr 7. Rangkaian Interface saklar

PLD (Programmable Logic Device)

Motor Stepper Seven Segmen LED Keypad Switch Clock LED Dot Matrix Motor DC Downloader PLD

(3)

 Modul Seven Segment

Pada tabel kebenaran tersebut tampak bahwa

seven segment yang hidup tergantung pada

output dari chip GAL, yang sedang mengeluarkan logika low ”0”, sehingga dari 3 buah display tersebut, selalu hanya satu display yang akan dihidupkan. Agar display tampak nyala secara bersamaan maka ketiga display tersebut harus dihidupkan secara bergantian dengan waktu tunda tertentu.

Pada gambar tersebut seven segment commont anoda dikendalikan dengan menggunakan transistor PNP melalui chip GAL, apabila ada logika low pada basis transistor, maka seven

segment akan nyala dan sebaliknya akan

padam.

Tabel 1. Data pembentuk karakter

seven

segment

Gbr 8. Rangkaian interface seven Segment

Tabel 1. Data pembentuk karakter

seven segment

 Modul LED Dot Matriks

Perhatikan pada Gambar 3.6 tersebut. Terdapat susunan LED yang terhubung ke port chip GAL, yang difungsikan sebagai output. Pada konfigurasi dot matriks tersebut akan nyala bila diberi logika LOW ‘0’ melalui port output dari GAL, dan dot matriks akan padam bila diberi logika HIGH ‘1’ melalui port output dari GAL.

Gbr 9. Rangkaian interface dot matriks

 Modul LED

Perhatikan pada Gambar 10. tersebut. Delapan buah LED terhubung ke port GAL, yang difungsikan sebagai output. Pada konfigurasi tersebut LED akan nyala bila diberi logika LOW ‘0’ melalui port GAL, dan LED akan padam bila diberi logika HIGH ‘1’ melalui port GAL.

 Modul Motor Steper

Motor Stepper adalah motor DC yang gerakannya bertahap (step per step) dan memiliki akurasi yang tinggi tergantung pada spesifikasinya. Setiap motor stepper mampu berputar untuk setiap stepnya dalam satuan sudut (0.75, 0.9, 1.8), makin keil sudut per step-nya maka gerakan per step-step-nya motor stepper tersebut makin presisi.

Gbr 10. Rangkaian interface LED

Gbr 11. Rangkaian interface motor stepper Untuk mengatur gerakan motor per step-nya dapat dilakukan dengan 2 cara berdasarkan simpangan sudut gerakannya yaitu full step dan half

step.

Tabel 2. Motor Stepper Unipolar

Dengan Gerakan Full Step

Gbr 12. Kontruksi Motor Stepper dalam satu kali putaran dengan gerakkan Full Step

(4)

Tabel 3. Motor Stepper dengan Gerakan Half

Step

Gbr 13. Kontruksi Motor Stepper dalam Gerakkan Half Step

 Motor DC

Modul yang menggunakan IC driver L298 yang memiliki kemampuan menggerakkan motor DC sampai arus 4A dan tegangan maksimum 46 Volt DC untuk satu kanalnya. Rangkaian driver motor DC dengan IC L298 diperlihatkan pada Gambar 14. Pin Enable A dan B untuk mengendalikan jalan atau kecepatan motor, pin Input 1 sampai 4 untuk mengendalikan arah putaran. Pin Enable diberi VCC 5 Volt untuk kecepatan penuh dan PWM (Pulse Width

Modulation) untuk kecepatan rotasi yang

bervariasi tergantung dari level high nya.

Gbr 14. Rangkaian interface motor DC

4. Desain Perangkat Lunak

Perangkat lunak yang digunakan untuk merancang rangkaian digital dalam praktikum adalah VHDL dan compilernya menggunakan Warp R4.2 produk dari Cypress Semiconductor. Adapun tahapan perancangan rangkaian digital diperlihat pada Gambar 15.

Gbr 15. Alur Perncangan Rangkaian Digital menggunakan VHDL

Contoh Kode VHDL untuk desain decoder 4 bit biner ke Seven Segment dengan model tabulasi Tabel 4. Tabel Kebenaran Biner 4 Bit Ke Seven Segmen.

 Listing Kode VHDL

library ieee;

use ieee.std_logic_1164.all; entity seg is

port (sel: in std_logic_vector (3 downto 0); Y: out std_logic_vector (6 downto 0)); Attribute Part_name of seg : Entity is "C22V10"; Attribute Pin_numbers of seg : entity is

"sel(0):2 sel(1):3 sel(2):4 sel(3):5 " & " Y(6):22 Y(5):21 Y(4):20 Y(3):19 Y(2):18 Y(1):17 Y(0):16";

end seg;

architecture dataflow of seg is begin process(sel) begin CASE sel IS WHEN "0000" => Y <= "0000001"; WHEN "0001" => Y <= "1001111"; WHEN "0010" => Y <= "0010010"; WHEN "0011" => Y <= "0000110"; WHEN "0100" => Y <= "1001100"; WHEN "0101" => Y <= "0100100"; WHEN "0110" => Y <= "0100000"; WHEN "0111" => Y <= "0001111"; WHEN "1000" => Y <= "0000000"; WHEN "1001" => Y <= "0001100"; WHEN "1010" => Y <= "0001000"; S k e m a t i k S t a t e M a c h i n e T e x t E d i t o r * . V H D I n p u t K o m p i l a s i & S i m u l a s i F i l e * . J e d D o w n l o a d t o P L D T a b u l a s i

(5)

WHEN "1011" => Y <= "1100000"; WHEN "1100" => Y <= "0110001"; WHEN "1101" => Y <= "1000010"; WHEN "1110" => Y <= "0110000"; WHEN OTHERS => Y <= "0111000"; END CASE;

end process; end dataflow;

Pada desain entity, input dideklarasikan pin sel dengan tipe data std_logic_vector (3 Downto 0 ) dan output dideklarasikan dengan pin Y dengan tipe data std_logic_vector( 6 Downto 0). Attribute Part_name dan Pin_name berfungsi untuk memberi tahu keprogram bahwa menggunakan chip tertentu dan pin chip tertentu.Untuk desain architecturenya pin sel akan diproses untuk dieksekusi.

Gbr 3.16. Simulasi program seven segment Dari Gambar 3.16 terdapat sel yang berfungsi untuk mengatur suatu keluaran karakter yang ditampilkan pada seven segment, sesuai dengan tabel kebenaran diatas.

5. Kesimpulan

TDSDT merupakan rancangan trainer yang diharapkan dapat meningkatkan kompetensi siswa SMK atau Mahasiswa Perguruan Tinggi untuk mempelajari dan mengembangakan desain sistem digital secara modern.

Daftar Pustaka

1. Arief B., Aplication of Integrated Module MCS 51

for Training.Prosiding SNTE UGM,

Yogyakarta, September 2003.

2. Arief B., Slamet W., Rancang dan Bangun

Pengendali Motor Stepper Menggunakan PLD (Programmable Device), Jurnal Widya

Teknik vol 5. No.1 April 2006

3. Arief B., Efrita A, Desain VHDL Pengendali Motor

Stepper. Jurnal Teknika, Unesa Vol.6.No.10,

Januari 2005.

4. Arief B., Kuspriyanto, Perancangan dan Simulasi

Processor DFT(Descretre Fourier

Transform), Seminar UNTAR, Jakarta,

Agustus 2007

5. Arief B., Slamet W., Choirul A., Desain, Simulasi

dan Sintesis Simple RISC Microprocessor 32 Bit, Seminar Nasional LPPM ITATS,

Surabaya, Nopember 2007.

6. Arief B., Perancangan dan Simulasi Hardware

Sorter, Jurnal IPTEK ,ITATS,2008.

7. Harry H. Helms, Ruth L. Weine, Designing with

Programmable Array Logic, McGraw-Hill

Book, 1978.

8. R.K. Dueck, Digital Design with CPLD

Applications and VHDL, Delmar Learning,

2000.

9. Stephen B., Z. Vranesic, Fundamental of Digital

logic With VHDL Design, McGraw-Hill, 2000.

10. Zainalabedin Navabi, Digital Design and

Implementation with Field Programmable Device, Kluwer Academic Press, 2005.

12. Tech. Staff of Monolithic Memories, Inc.,

Designing With Programmable Array Logic,

Gambar

Gambar  4.  menunjukkan  diagram  blok  dari  sebuah  TDSDT.  Komponen  utama  dari  TDSDT  terdiri  dari  Modul  input,    modul  output  dan    PLD  sebagai unit pengolah
Tabel 1. Data pembentuk karakter                                                                    seven segment
Tabel 4. Tabel Kebenaran Biner 4 Bit Ke Seven                 Segmen.

Referensi

Dokumen terkait

Untuk meta description dan meta keyword pengisiannya harus dibuat sesuai dengan konten yang ada dalam website, hal ini dilakukan untuk mempermudah search engine

Dalam penelitian ini, situasi sosial yang diteliti adalah karier dari wanita yang sudah menikah, bekerja dibidang yang didominasi oleh pria dan di bidang pekerjaan yang

Penulis melihat selama melakukan on the job training di hotel sintesa peninsula manado, bahwa peran order taker sangat penting untuk menunjang kelancaran yang ada

Namun dari hasil yang didapat terdapat usia 27 tahun yang mengalami tingkat kegagalan kognitif yang tinggi pada pegawai administrasi, ini dapat disebakan

Pada dasarnya Dalam hal harta benda wakaf ditukar atau diubah peruntukannya, seharusnya Nazhir melalui PPAIW mendaftarkan kembali kepada Kepala Kantor Pertanahan setempat dan

Konstipasi yang bersifat familial mempunyai ciri klinis yang berbeda dari konstipasi fungsional pada umumnya, ini terlihat pada sebuah studi yang membandingkan 118 pasien

Orientations to curriculum. New York: Longman. Ministry of National Education. Desain induk pendidikan karakter [Main design of character education], Jakarta, Indonesia: Ministry

Berdasarkan Tabel 4.3 setelah mendapatkan penyuluhan tentang IVA dalam deteksi dini kanker serviks pada wanita usia subur di Dusun Pundung Yogyakarta sebagian