• Tidak ada hasil yang ditemukan

Desain Arithmetic Logic Unit 8bit untuk Central Processing Unit 8bit

N/A
N/A
Protected

Academic year: 2018

Membagikan "Desain Arithmetic Logic Unit 8bit untuk Central Processing Unit 8bit"

Copied!
7
0
0

Teks penuh

(1)

Fakultas Ilmu Komputer

Desain

Arithmetic Logic Unit

8bit untuk

Central Processing Unit

8bit

Ega Dewa Iswantoro1, Mochammad Hannats Hanafi Ichsan2, Wijaya Kurniawan3

Program Studi Teknik Informatika, Fakultas Ilmu Komputer, Universitas Brawijaya Email: 1egatesla123@gmail.com, 2hanas.hanafi@ub.ac.id, 3wjaykurnia@ub.ac.id

Abstrak

Arithmetic Logic Unit atau yang biasa di sebut ALU merupakan bagian penting dari pada Central Processing Unit atau yang biasa di sebut CPU. ALU pada umumnya memiliki fungsi untuk melakukan perhitungan aritmatika dan logika . Pada Arithmetic Logic Unit 8bit untuk Central Processing Unit 8-bit pun memiliki fungsi yang sama untuk memperoses data matematika dan logika . Beberapa fungsi yang terdapat pada ALU adalah penjumlahan (ADD), penjumlahan tidak bertanda (ADDU), pengurangan (SUB), pengurangan tak bertanda (SUBU) dan beberapa fungsi logika OR, XOR dan AND. Pada rancangan Arithmetic logic unit 8bit untuk central processing unit 8bit menggunakan IC adder , AND , OR , NOT dan multiplexer. Untuk input utama menggunakan 2 switch I/0 dan 3 buah input switch selector untuk multiplexer , dan menggukan media LED sebagai outputan. Rangkaian ini di desain untuk menggunakan 8 buah rangkaian kembar yang tiap rangkaiannya merepresentasikan 1 bit . Desain rangkaian ini menggunakan daya 5volt sebagai sumber daya utamanya. Pada bagian pengujian kinerja Arithmetic logic unit 8bit akan di uji dengan membandingkan hasil output dari ALU dan hitungan manual dengan 3 input berbeda pada setiap fungsi . Pada hasil pengujian didapatkan hasil output yang sama dengan perhitungan manual pada fungsi pemanggilan input dan memiliki kendala pada bagian output untuk proses bagian penjumlahan yang mengunakan adder .

Kata kunci: Arithmetic Logic Unit 8bit, Central Processing Unit 8bit, Multiplexer,

Abstract

Arithmetic Logic Unit or commonly called ALU is an important part of the Central Processing Unit or commonly called CPU. ALU generally has a function to perform arithmetic and logic calculations. The 8bit Arithmetic Logic Unit for Central Processing Unit-8bit also has the same functionality to process mathematical and logic data. Some functions contained in the ALU are the ADD, unmarked sums (ADDU), subtraction (SUB), unmarked subtraction (SUBU) and some OR, XOR and AND logic functions. In the 8bit Arithmetic logic unit design for central processing unit 8bit using IC adder, AND, OR, NOT and multiplexer. For the main input use 2 switches I / 0 and 3 input input switches for multiplexers, and menggukan LED media as outputan. This circuit is designed to use 8 twin sets which each series represents 1 bit. The design of this circuit uses 5volt power as its main power source. In the test part of Arithmetic logic unit 8bit performance will be tested by comparing the output output of ALU and manual count with 3 different input on each function.

Keywords: Arithmetic Logic Unit 8bit, Central Processing Unit 8bit, Multiplexe

1. PENDAHULUAN

Central Processing Unit 8-bit atau yang biasa di sebut CPU 8bit adalah sebuah sirkuit elektronik yang memproses beberapa instruksi operasi aritmatika logis , control dan input/output dengan data sebesar 8bit . Seperti pada umumnya CPU 8bit pun memiliki 3 buah bagian penting untuk pengoprasiannya yaitu Control Unit , Arithmetic logic Unit dan Register

(2)

dan operasi logika. Register merupakan bagian penyimpanan yang digunakan untuk media penyimpanan data atau intruksi yang sedang di proses.

Arithmetic Logic Unit (ALU) merupakan elemen penting pada desain CPU 8-bit dikarenakan ALU adalah bagian dari CPU8bit yang bertugas untuk melakukan perhitungan aritmatika dan logika dengan besar data 8bit. Fungsi ALU adalah untuk melaksanakan perintah pemprosesan data dalam bentuk angka dan logika, ALU juga memiliki kemampuan untuk memperoses data matematika dan statistika . Beberapa fungsi yang terdapat pada ALU antara lain penjumlahan (ADD) ,

penjumlahan tidak bertanda (ADDU),

pengurangan (SUB), pengurangan tidak

bertanda (SUBU) dan beberapa fungsi logika OR, XOR, dan AND. ALU memiliki tugas untuk melakukan perhitungan matematika serta melakukan pengambilan keputusan melalui operasi yang sesuaiadengan instruksi dari program operasi logika . Pada penelitian sebelumnya telah berhasil merancang desain rangkaian ALU pada CPU8bit dengan 8bit input

(Derek C. Schuurman 2014) dan

mensimulasikannya dengan menggunakan

perantara LOGISIM (Mochammad Hannats Hanafi Ichsan & Wijaya Kurniawan (2016) . Komponen yang terdapat pada alu agar dapat beroprasi antara lain , adder yang digunakan untuk memproses operasi aritmatika , gerbang logika and , or ,nan untuk memproses operasi logika dan multiplexer untuk memilah proses .

ALU 8 bit membutuhkan komponen-kompopnen elektronik seperti IC gerbang logika OR , NOT , AND , Adder dan Multiplexer . Untuk IC OR dapat menggunakan IC74LS32 , untuk NOT menggunakan IC74LS04 , untuk AND menggunakan IC74LS08 , untuk ADDER IC74LS283 sedangkan untuk Multiplexer

menggunakan multiplexer yang memiliki

inputan 8 dan keluaran 1 dan memiliki 3 bit untuk selectornya , untuk multiplexer dapat

menggunakan IC74251 , rangkaian ini

membutuhkan daya 5v dan led untuk media outputnya .

Berdasarkan dari kajian yang telah dijelaskan diatas penulis ingin membuat Arithmetic Logic Unit (ALU) 8bit dalam bentuk fisik , dan setelah itu agar dapat di implementasikan ke sebuah rangkaian Central Procesing Unit CPU 8-bit.

2. KAJIAN PUSTAKA

Pada penelitian yang pernah dilakukan sebelumnya untuk membuat ALU 8bit pada CPU 8-bit memerlukan 2 buah input BUS 8-bit dan 1 buah output 8-bit. 3 buah inputan untuk control ALU operation . sedangkan untuk operasi ALU sendiri memerlukan 3 buah inputan control untuk memilih operasi yang ada . Berikut ini block diagram dari sebuah rancangan ALU 8-bit).

Gambar 1. Diagram Block ALU

Berdasarkan gambar 1 diatas , 2 inputan ALU yang bernilai 8-bit di ilustrasikan pada inputan yang berlabel A Bus dan B Bus. Sedangkan untuk operasi control ALU di ilustrasikan pada inputan berlabel F0, F1, F2 . 3 buah inputan control memiliki fungsi untuk memilih 1 dari 8 buah fungsi logika yang terdapat di dalam alu . Dalam pemilihan 1 dari 8 buah fungsi logika dapat menggunakan IC multiplexer 8 ke 1 .8 buah fungsi pada alu bisa dilihat pada tabel 1).

Tabel 1 . Diagram Block ALU

(3)

+1 , inputan biner yang bernilai 3 akan menghasikan outputan B+1 atau nilai awal Bus B + 1 , sedangkan inputan biner bernilai 4 akan menghasilkan operasi nilai awal Bus A + Bus B , inputan biner bernilai 5 akan menghasilkan operasi dari nilai awal Bus A - Bus B , sedangkan inputan biner bernilai 6 akan menghasilkan opersi logika AND dari A dan B , dan inputan biner bernilai 7 akan meghasilkan operasi logika OR dari A dan B.

Dari peneitian tersebut, penulis akan membuat desain ALU 8-bit untuk CPU 8-bit . Dengan 2 buah inputan Bus dan 3 buah inputan untuk operasi control ALU , sedangkan untuk output dapat di simulasikan dalam bentuk LED yang menyala.

3. METODOLOGI

3.1 Analisa Kebutuhan

A. Kebutuhan Perangkat Keras

1. IC OR 74ls32

2. IC NOT 74ls04

3. IC AND 74ls08

4. IC full adder 74ls283

5. IC multipexer 8 bit 74ls157

B. Kebutuhan Perangkat Lunak

1. Eagle

3.2 Perancangan Sistem

Perancangan Sistem dalam penelitian ini akan berjalan apabila semua kebutuhan sudah terpenuhi .Perancangan sistem ini akan di mulai dari gambaran awal rancangan ALU 8bit .

3.3 Perancangan Perancangan Keras

Perancangan perangkat keras menjelaskan perangkat-perangkat yang di gunakan pada rangkaian Arithmetic Logic Unit 8-bit . Dalam perancangan rangkaian alat akan di jelaskan pada gambar 2.

.

Gambar 2. Diagram Blok Rancangan ALU 8bit

Pada gambar 2 menjelaskan tentang desain

rangkaian arithmetic logic unit 8bit

menggunakan 8 buah rangkaian seperti pada gambar , setiap rangkaian ini berisikan 2 buah I/0 saklar yang di gunakan untuk inputan utama di setiap rangkaian dan 3 buah saklar yang di gunakan untuk inputan selctor pada multiplexer . Rangkaian ini membutuhkan Ic gerbang logika sebagai pemprosesan data yang berisikan gerbang adder , and dan not . Rangkaian ini menggunakan daya 5 – 6 Volt.

A. Skematik alat

Pada skematik alat akan di jelaskan tahap awal desain dari Arithmetic logic unit 8bit sebelum di implimentasikan .

Gambar 3 . Skematik input

(4)

Gambar 5 . Skematik Multiplexer

Pada gambar 3 diatas merupakan skematik dari rangkaian input utama A dan B menggunakan saklar I/0 dan menggunakan resistor 470 . Sedangkan pada gambar 4 merupakan lanjutan proses dari gambar 3 yang merupakan skematik dari IC 27ls32 yang merupakan IC dari gerbang logika OR , IC 27ls04 yang merupakan IC dari gerbang logika NOT , IC 74ls08 yang merupakan IC dari gerbang logika AND dan IC 74ls283 yang merupakan IC dari adder . Sedangkan pada gambar 5 merupakan lanjutan proses dari gambar 2 yang merupakan skematik dari multiplexer IC 74ls157 yang memiliki 8 buah inputan dari proses sebelumnya dan 3 buah inputan untuk selector yang digunakan untuk menyeleksi proses yang akan di tampilkan hasil outputannya . Pada bagian bawah multiplexer terdapat konektor untuk menghubungkan daya dan inputan switch untuk menyatukan inputan selector multiplexer dengan rangkaian yang lain sehingga semua rangkaian memiliki nilai inputan selector multiplexer yang bernilai sama.

4. IMPLEMENTASI

Implementasi dilakukan ketika semua tahap perancangan telah terpenuhi.

4.1 Implementasi Perangkat Keras

Pada implentasi perangkat keras akan menjelaskan implementasi dari rancangan perangkat keras yang sudah di lakukan sebelumnya.

Gambar 6 . Rangkaian ALU

Pada gambar 6 adalah rangkaian untama yang memiliki 2 saklar inputan A dan B jika switch di arahkan ke bawah akan bernilai 1 dan 0 bila sebaliknya , 3 buah saklar selector untuk multiplexers pada saat switch selektor di arahkan ke atas akan bernilai 1 dan 0 jika sebaliknya, kombinasi ketiga saklar tersebut akan membentuk nilai biner , semua saklar menggunakan saklar I/0 dan menggunakan resistor 470 . Pada bagian atas terdapat port untuk konektor untuk daya dan konektor switch multiplexer antar rangkaian .

Gambar 7 . Rangkaian ALU Terkoneksi

Gambar 8 Rangkaian ALU Dengan Daya

(5)

nilai inputan selector yang sama led akan menyala dan menandakan nilai output 1.

Gambar 9 . Keseluruhan Rangkaian ALU

gambar 5.8 situasi di saat semua rangkaian yang berjumlah 8 buah rangkaian telah saling tersinambung daya dan memiliki inputan yang sama dengan rangkaian utama

5. PENGUJIAN DAN ANALISIS

Pada pengujian dan analisis dilakukan untuk mengetahui apakah kinerja sistem yang telah dibuat dapat bekerja dengan baik seperti rancangan. Pengujian yang akan dilakukan pada sistem ini adalah dengan menguji hasil perhitungan dari Arithmetic Logic Unit 8-bit.

5.1 Pengujian Hasil Perhitungan ALU 8bit 5.1.1 Tujuan

Pengujianan ini dilakukan untuk mengetahui keakuratan hasil dari rancangan sistem yang telah dibuat dengan cara membandingkan perhitungan sistem dengan perhitungan manual.

5.1.2 Prosedur Pengujuan Hasil Perhitungan ALU 8bit

Prosedur dalam pengujian sistem ini sebagai berikut :

1. Menguji dengan memasukkan 3 angka

berbeda pada setiap fungsi pada ALU 8bit

2. Membandingkan hasil perhitungan sistem ALU 8bit dengan perhitungan manual

5.1.3 Hasil Pengujian

Pengujian ini di lakukan dengan cara menginputkan 3 buah angka berbeda pada

setiap fungsi pada ALU 8bit dan

membandingkannya dengan hitungan

manual dengan angka yang sama , fungsi yang di uji adalah (A+1) , (B+1) , (A+B) , (A+(-B) , (A AND B) dan (A OR B) . Berikut hasil pengujian ALU 8bit di bawah ini.

1. Pengujian (A+1)Fungsi 2 (010)

Pada pengujian ini akan di uji hasil dari fungsi perhitungan (A+1) , hasil pengujian dapat dilihat pada table 2.

Tabel 2. Pengujian A+1

2. Pengujian (B+1) Fungsi 3(011)

Pada pengujian ini akan di uji hasil dari fungsi perhitungan (B+1) , hasil pengujian dapat dilihat pada tabel 3.

Tabel 3 . Pengujian B+1

3. Pengujian (A+B) Fungsi 4 (100)

Pada pengujian ini akan di uji hasil dari fungsi perhitungan (A+B) , hasil pengujian dapat dilihat pada table 4.

Tabel 4 . Pengujian A+B

4. Pengujian (A+(-B) Fungsi 5 (101)

(6)

Tabel 5 . Pengujian A+(-B)

5. Pengujian (A AND B) Fungsi 6 (110)

Pada pengujian ini akan di uji hasil dari fungsi perhitungan (A+1) , hasil pengujian dapat dilihat pada table 6.

Tabel 6 . Pengujian A AND B

6. Pengujian (A OR B) Fungsi 7 (111)

Pada pengujian ini akan di uji hasil dari fungsi perhitungan (A+1) , hasil pengujian dapat dilihat pada table 7.

Tabel 7 . Pengujian A OR B

5.1.4 Analisa Pengujian

Berdasarkan hasil pengujian dari

membandingkan hasil perhitungan ALU dengan hasil perhitungan manual yang di perlihatkan pada tabel di atas dapat di simpulkan bahwa tidak semua hasil yang diperoleh dari perhitungan ALU 8bit benar , di sebabkan beberapa carry out dari adder belum terkoneksi.

6. KESIMPULAN

Berdasarkan hasil perancangan sistem, implementasi sistem, pengujian, dan analisis maka dapat di simpulkan :

1. Dalam merancang Arithmatic Logic Unit 8bit untuk Central Processing Unit 8bit ,membutuhkan IC gerbang logika untuk

memproses perhitungan . Dalam ALU 8bit komponen IC yang dibutuhkan agar sistem bisa memiliki fungsi yang dibutuhkan untuk mengkalkulasi input adalah adder yang berfungsi untuk menjumlah atau menambah nilai input , NOT yang berguna untuk membalik nilai input , dan Multiplexer yang berperan penting untuk sistem agar dapat memilah fungsi aritmatika mana yang di butuhkan untuk di peroses. Pada input membutuhkan 2 masukan yang berupa saklar on off yang dapat di artikan dengan I/O

2. Pada perancangan desain Arithmetic Logic Unit bit untuk Central Processing Unit 8-bit menggunakan 8 buah rangkaian yang sama dan setiap rangkaian mempresentasikan 1 bit , setiap rangkaian memiliki 2 buah jalur input A dan B menggunakan saklar dan untuk

jalur input selector multiplexer

membutuhkan 3 buah input berupa saklar yang nantinya akan di inputkan berupa biner 3 bit yang merupakan alamat pada fungsi dan yang setelah fungsi tersebut di pilih akan di lanjutkan pada output yang berupa led pada setiap rangkaian.

3. Pada rancangan Arithmetic Logic Unit 8bit untuk central processing unit 8bit untuk menentukan kesesuaian rancangan dapat dinilai dari membandingkan nilai outputan dari sistem dan membandingkannya dengan hitungan manual . Jika output sistem tidak sesuai dengan hitungan manual berarti sistem masih belum sempurna dalam melakukan pengkalkulasian. fungsi adder masih banyak perbedaaan dengan hasil dari perhitungan manual.

2. Pada rancangan sistem masih

(7)

8. DAFTAR PUSTAKA

Cburch 2012 . The Guide to Being a Logisim User . [Online] Tersedia di <

http://www.cburch.com/logisim/docs/2.5. 0/en/guide/index.html> [Diakses tanggal 27 Juni 2018].

Derek, C.S . 2017. Step-by-Step Design and Simulation of a Simple CPU Architecture. Computer Organization . Redeemer University Collage

M Sholehudin, A. Arsitektur Vann Neuman [Online] Tersedia di <http://sholehudin- tkj.co.id/2015/09/pengertian-arsitektur-von-neuman.html> [Diakses tanggal 26 Juni 2018]

Nindhi, Kathuria., 2017. “Designing an

Eight-Bit Arithmetic Logic Unit Using

ModelSim” Tersedia di <

https://electronicsforu.com/electronics- projects/hardware-diy/designing-8-bit-alu-modelsim >.

Spel3o, 2012 , “How To Build An 8-Bit

Computer” Tersedia di

<http://www.instructables.com/id/How-to-Build-an-8-Bit-Computer/>

T. Stanley, V. Chetty, M. Styles, S.-Y. Jung,F. Duarte, T.-W. J. Lee, M. Gunter, and L. Fife. Teaching computer architecture through simulation: (a brief evaluation of cpu simulators). Journal of Computing Sciences in Colleges,

Wijaya, K., dan Mochammad Hannasts, H.H.I 2017. Teaching And Learning Support

For Computer Architecture And

Organization Courses Design On

Computer Engineering and Computer Science For Undergraduate: A Review.

Computer Engineering, Faculty of

Gambar

Tabel 1 . Diagram Block ALU
Gambar 4 . Skematik Fungsi
Gambar 6 . Rangkaian ALU
Tabel 2. Pengujian A+1

Referensi

Dokumen terkait

Dinamika pola alley cropping dipengaruhi oleh beberapa faktor yaitu perkembangan tegakan mahoni yang berpengaruh terhadap sistem berbagi sumberdaya (resource sharing

Pemerintah Nomor 25 Tahun 2000 tentang Kewenangan Pemerintah dan Kewenangan Propinsi sebagai Daerah Otonom, sebagaimana diamanatkan Undang-undang Nomor 22 Tahun

Saat seorang partner audit dari E&amp;W, Larry Gray datang berkunjung ke perusahaan ZZZZ Best untuk melakukan pemeriksaan secara mendadak, Minkow menyuruh bawahannya untuk

Hasil penelitian menunjukkan bahwa pemanfaatan teknologi komunikasi yang dilakukan umat muslim di Indonesia pada pelaksanaan ritual dan tradisi Idulfitri merupakan bentuk

Berdasarkan Tabel 4.3 setelah mendapatkan penyuluhan tentang IVA dalam deteksi dini kanker serviks pada wanita usia subur di Dusun Pundung Yogyakarta sebagian

Hasil penelitian ini menjelaskan bahwa variansi sikap sosial ditentukan oleh kebutuhan komunikasi antara anak kos dengan pemilik kos, kebutuhan komunikasi antara anak kos dengan

Jika ianya bukan demikian bentuk rupa yang khusus ini diketahui oleh Allah dan dikehendaki oleh-Nya, Dia tidak akan membawa kita kepada kewujudan atasnya, dan rupa bentuk ini

Revolusi kebijakan, khususnya kebijakan terhadap KTA, akan menemui banyak tantangan seperti kondisi alam (curah hujan dan intensitas hujan tinggi, lahan berlereng, dan