• Tidak ada hasil yang ditemukan

CAR PARKING SYSTEM DESIGN IN VHDL

N/A
N/A
Protected

Academic year: 2023

Membagikan "CAR PARKING SYSTEM DESIGN IN VHDL "

Copied!
59
0
0

Teks penuh

This is to certify that this project titled "CAR PARKING SYSTEM DESIGN IN VHDL" has been done by the following students under my direct supervision.

LIST OF ABBREVIATION

ACKNOWLEDGEMENT

ABSTRACT

INTRODUCTION

  • Introduction
  • Issues of Old System of Vehicles Parking
    • Traffic Jam
    • Security Issue
    • Wasting Time
    • Economic Loss
  • Advantage of Auto Car Parking System
    • Reduce Traffic Jam
    • Save Time
    • Reduce Attendance
  • Objective of Project
  • Background of the Project

As far as we progress with time for this old car parking system, we face waste of time and some economic losses. Therefore, we offer a solution to solve these issues and an additional replacement for the manual parking system for business premises. In manual parking system, drivers park their car at their sweet spot and therefore there is no discipline at all.

In the manual car parking system, drivers do not know whether there is space for their cars to park or not, so they look for parking places where they can park them. In the manual car parking system there is a risk of collision with cars and this hinders the car which may need repair. In the car parking system, drivers park their cars in a parking space, so there is no option to park their car on the side of the road or footpath.

The main objectives for the project are to create a real-life intelligent car parking system application. In manual parking system it is too difficult to find out the vacant parking space, it is very time consuming.

LITERATURE REVIEW

Overview

History of Car Parking System

Manual Car Parking System

Automatic Car Parking System

  • Fully Automated Car Parking System
  • Semi Automated Car Parking System

The driver recovers the vehicle by embedding the ticket or code he had received before. The vehicle is lifted from the parking lot and transported to the exit area. The primary differentiating factors between a fully and semi-automated automated parking system are often space and cost.

Development of Auto Car Parking System

Car Parking System in Bangladesh

Because of this type of car parking system, passers-by cannot walk properly and traffic jams have occurred. Without some well-established companies, hospitals and shopping malls there is no place to park cars. Several automated car parking systems are in the works such as PI Lab Company advertises and encourages the use of the automatic car parking system.

Applicability of this Project

So their customers park their cars on the side of the road and create unrest in the area. In the healing facility, when there is a ton of emergency cases, a ton of vehicles and ambulances arrive in the parking lot. This makes stick, which is the cause of the delay for the patients in getting the therapeutic administrations which can often be fatal for them.

In the event that we introduce the robotic system, it will allocate fewer opportunities to leave the vehicle and the patients to achieve the restorative administrations. In case we introduce mechanized vehicle parking systems in entertainment meccas, it will attract more people to go to these places. In addition, these meccas of entertainment soothe us from our monotonous and repetitive lives, revive our brain.

Along these places we can use this framework in instructive foundations and mosques where vehicle parking area is accessible.

Comparison between the Existing System and Proposed System

For points like shopping malls or entertainment meccas it causes practical disaster, as due to this bed many people hesitate to visit these points which reduces the number of customers in these points. Again customers inspire less time to consider choices through these points, which can again reduce the opportunity to move items. Occasionally customers are unable to access these locations due to parking congestion.

This risk of vehicle parking creates problems for the learner and office workers as they are unable to reach their destination on time which occasionally causes a huge accident in their individual profession. It can cause fatal harm to patients as it can cause a delay in reaching recovery authorities who may be just a few floors away in a medical building. In addition, the vast majority of parking spaces here do not have a ring frame for leaving vehicles.

So by presenting the mechanized parking systems, we can handle both the leisure in parking lots and recover accidents caused by the current framework and furthermore gain cash by charging cash for parking vehicles.

State Machine

Explanation about Software

  • Quartus11 Software
  • Benefit of Using VHDL

In this software, a text editor can be used to create a Verilog HDL, VHDL or Altera Hardware Description Language (AHDL) design. In this project, VHDL is used to write the coding and a simulator is used to provide the working principle of the parking system. Such a model is processed by a synthesis program only if it is part of a logical design.

A simulation program is used to test the logic design using simulation models to represent the logic circuits that interface to the design. Hardware description language (HDL) is divided into two types, Verilog and VHDL (VHSIC – Very High Speed ​​Integrated Circuit Hardware Description Language).

Figure 2.2: Quartus11 Design Flow
Figure 2.2: Quartus11 Design Flow

METHODOLOGY

  • Introduction
  • Direction of Car Parking System
  • Developing Diagram and Interface Signal of Car Parking System
  • State Diagram of Car Parking System
  • Working Procedure of Prototype
    • The Display
    • The Gate
    • The central command
    • The Exit
  • Implementation in VHDL
  • Compile Program in Quartus II Software
    • Getting Started with Altera Quartus II
    • Create a new project
    • Choosing a Device
    • Creating a New File
    • Create a Testbench
  • Analyzing Synthesis Results with the Net List Viewers
    • The RTL Viewer
    • State Machine Viewer
    • The Technology Map Viewer
    • The Pin Planner
  • Hardware Components
    • Sensor
    • LED Display
    • Relay
    • Power Supply
  • Summery

The next important phase to undergo after conducting the study on the problem of tropical parking systems is to identify the parking situation. In a manual car parking system, there is a guard who orders the drivers to park their cars and drivers follow the guard's direction. This causes the car to be obstructed and the car must be repaired which means a financial loss.

VHDL code and test bench for the car parking system are used to create the procedure. There is a front sensor to recognize the vehicles going towards the gate of the car parking system. Another rear sensor is to detect if the oncoming vehicle crosses the driveway and enters the car park.

The aim of this project is to eliminate the presence of cars and park the car safely and securely in a disciplined manner. With the information given above, the inputs and outputs for the system can be collected through the interface known as State Machine. If the password entered by the driver is correct, the gate will open and the car will have a chance to enter the parking zone. FSM prints RIGHT_PASS and flashes the green LED.

Fourth condition: When the password is correct, the car enters the parking zone and is identified by the rear sensor. The red LED flashes so that the next car notices that it has to stop and enter the password. The FSM returns to IDLE status after the car passes the gate and enters the parking lot.

The Finite State Machine (FSM) of the Intelligent Car Parking System will be used as a reference to write the VHDL code. The state machine viewers allow viewing the state machine diagram for the relevant logic in our design. For State Machine Viewer, click the Tools menu, point to Netlist Viewers, and then click State Machine Viewer.

The technology map viewer includes a schematic view and also includes a hierarchy list, which lists the instances, primitives, pins, and sockets for the entire design netlist. From the above discussion, it is clear that designing an automated car parking system that satisfies all the criteria simultaneously is a complicated task.

Figure 3.2: Automatic Car Parking System.
Figure 3.2: Automatic Car Parking System.

RESULTS AND DISCUSSION

  • Introduction
  • Result for Automated Car Parking System
  • Discussion
  • CONCLUSION
    • Conclusion
    • Future Ideas
    • Commercialization Potential

In Fig.4.5.at RED_LED=1 the input password is wrong, so the FSM changes into WRONG_PASSWORD state. So the FSM changes to STOP state and blinks the RED_LED to notify the next car that one car is already in the parking lot. The output for car parking system depends on the password that is in the access gate.

The gate will open or not according to the password accuracy. FSM is used to do the whole system. We can modify the VHDL code to increase the blinking period of the Green LED and Red LED. Also can change the waiting period for the password entered in the FSM VHDL code of the parking system.

After doing research about computerized car parking system, it is discovered that robotic car parking systems can be presented in our country and it will be recipient with regard to our country. Computerized parking systems reduce the problem in car parks and road-facing car parks. It will benefit the property designer to build their income which will add to the administration impose income.

In this way we should introduce the computerized car parking systems and evaluate the advantages. In the experiment, the 200 parking lots will be divided into 4 zones each of 50 plots separately. We can perceive vehicles by their number plates with the help of image preparation in the computerized car parking system.

Using this kind of innovation, customers can specifically pay for their parking space using the cell phone's prepaid parity or parking account balance. This project, a car parking system, is to improve the movement of the vehicles in the parking space. This is because almost the car parking system in the city uses a manual parking system.

Figure 4.2: Diagram Showing Simulation Result of Car Parking System
Figure 4.2: Diagram Showing Simulation Result of Car Parking System

APPENDIX A

VHDL code for the car parking system using FSM

APPENDIX B

VHDL Testbench code for the car parking system using FSM

Gambar

Figure 2.1: Fully Automated Car Parking System.
Figure 2.2: Quartus11 Design Flow
Figure 3.1: Flow Chart of Car Parking System Design
Figure 3.2: Automatic Car Parking System.
+7

Referensi

Dokumen terkait

The title for this project is “Designing a novel diagnostics tool for dengue serotypes” with aims to design an diagnostics tool using LAMP primer that is able to differentiate the