• Tidak ada hasil yang ditemukan

Perancangan Modulator Demodulator FSK(Frequensi Shift Keying) Dengan Menggunakan SistemC.

N/A
N/A
Protected

Academic year: 2016

Membagikan "Perancangan Modulator Demodulator FSK(Frequensi Shift Keying) Dengan Menggunakan SistemC."

Copied!
65
0
0

Teks penuh

(1)

TUGAS AKHIR

“PERANCANGAN MODULATOR DEMODULATOR

FSK(FREQUENSI SHIFT KEYING) DENGAN MENGGUNAKAN

SISTEMC”

Diajukan untuk memenuhi salah satu persyaratan dalam menyelesaikan pendidikan sarjana (S-1) pada Departemen Teknik Elektro

Oleh:

YESI FLORENTA GINTING

NIM : 080422025

DEPARTEMEN TEKNIK ELEKTRO

PROGRAM PENDIDIKAN SARJANA EKSTENSI

FAKULTAS TEKNIK

UNIVERSITAS SUMATERA UTARA

MEDAN

(2)

“PERANCANGAN MODULATOR DEMODULATOR FSK(FREKUNSI SHIFT KEYING)DENGAN MENGGUNAKAN SISTEMC”

Oleh :

YESI FLORENTA GINTING NIM : 080422025

Tugas Akhir ini diajukan untuk melengkapi salah satu syarat untuk memperoleh gelar sarjana Teknik Elektro

Disetujui oleh : Dosen Pembimbing,

Rahmad Fauzi, ST.MT NIP :  19451196810042000121001

Diketahui oleh :

Pelaksana Harian Ketua Departemen Teknik Elektro FT USU,

Prof. DR. Ir. Usman Baafai NIP : 194610221973021001

DEPARTEMEN TEKNIK ELEKTRO

PROGRAM PENDIDIKAN SARJANA EKSTENSI FAKULTAS TEKNIK

UNIVERSITAS SUMATERA UTARA MEDAN

(3)

KATA PENGANTAR

Puji syukur serta hormat kepada Tuhan Yang Maha Esa sumber segala pengetahuan yang telah memberikan hikmat, kekuatan, kebijaksanaan, serta bimbingan kepada penulis dalam menyelesaikan Tugas Akhir (TA) ini dengan baik dan tepat waktunya.

Tugas Akhir ini di susun sebagai salah satu syarat menyelesaikan program S-1 Jurusan Departemen Teknik Elektro di Universitas Sumatera Utara. Dalam penulisan Tugas Akhir ini penulis telah banyak mendapatkan bantuan baik moril maupun material dari berbagai pihak. Dan pada kesempatan ini perkenankanlah penulis mengucapkan terima kasih kepada :

1. Kedua Orangtua tercinta atas segala kasih sayang, pengorbanan, dukungan doa dan materi yang telah diberikan.

2. Bapak Prof.Dr.Ir.Usman. Bafaai selaku Ketua Departemen Teknik Elektro, Fakultas Teknik, Universitas Sumatera Utara.

3. Bapak Rachmad Fauzi ST,MT selaku Sekretaris Departemen Teknik Elektro, Fakultas Teknik, Universitas Sumatera Utara.

4. Bapak Rahmad Fauzi ST, MT selaku Dosen Pembimbing Tugas Akhir. 5. Bapak Ir. Zulkarnain Pane selaku Dosen Wali penulis.

6. Abang, Kakak, dan Adik yang telah memberikan masukan selama Tugas Akhir.

7. Seluruh Bapak/Ibu Dosen yang telah mengajar dan mendidik penulis selama ini.

8. Seluruh Staf dan Karyawan Departemen Teknik Elektro USU.

9. Teman-teman seperjuangan : Eka Dumaita Manik, Tinsona Saragih, Andi Purba Siboro, Farel, Bobby, Dhini, Mia, Sryani dan seluruh teman-teman sekelas yang memberikan masukan dan semangat kepada penulis.

(4)

membangun selalu penulis harapkan. Kiranya Tuhan selalu memberikan karuniaNya kepada kita semua. Akhir kata, penulis berharap semoga Tugas Akhir ini dapat bermanfaat bagi para pembaca dan bagi yang memerlukannya.

Medan, November 2010

Hormat Saya,

Yesi Florenta Ginting

(5)

DAFTAR ISI

2.1.3 Tipe Sinyal yang ditransmisikan ... 6

2.1.4 Keaslian Sinyal ... 7

2.2 Modulasi Digital ... 8

2.2.1 Konsep Modulasi Digital ... 8

2.2.2 Jenis Teknik Modulasi Digital ... 8

2.2.2.1 FSK (Frekuensi Shift Keying) ... 9

2.2.2.2 Pemancar PSK (Phase Shift Keying) ... 10

(6)

2.2.2.4 Penerima FSK ... 13

2.2.3 Sistem Komunikasi Digital Analog ... 16

2.2.3.1 Multiplexing ... 16

2.2.4 Pensinyalan ... 20

2.2.5 Integrasis ... 20

2.2.6 Regenerasi Sinyal ... 20

2.2.7 Kemudahan Enkripsi ... 21

2.2.8 Sistem Tranceiver OFDM ... 21

BAB III SYSTEMC

3.4.3 Pemodelan waktu diskrit ... 31

3.4.4 Pemodelan waktu kontiniu ... 33

3.4.5 TDF eksekusi semantik ... 35

BAB IV PERANCANGAN DAN PRINSIP KERJA 4.1 Prinsip Kerja Modem FSK (Frequensy Shift Keying) ... 38

4.2 Perancangan Perangkat Lunak ... 38

4.3 Perancangan Flowchart ... 39

4.4 Memanggil Library SystemC ... 39

4.5 Menggambar Grafik ... 44

4.6 Tampilan Hasil ... 45

4.7 Tabel Hasil ... 53

(7)

BAB V KESIMPULAN DAN SARAN

5.1 Kesimpulan ... 54 5.2 Saran ... 54

(8)

DAFTAR GAMBAR

Gambar II 2.1 Sistem Komunikasi ... 5

Gambar II 2.2 Sinyal Analog ... 6

Gambar II 2.3 Sinyal Digital ... 7

Gambar II 2.4 Proses Modulator ... 8

Gambar II 2.5 Modulasi FSK ... 9

Gambar II 2.6 Gelombang Termodulasi FSK ... 10

Gambar II 2.7 Pembangkitan Sinyal BFSK ... 14

Gambar II 2.8 Constelasi FSK ... 15

Gambar II 2.9 Mutiplexing ... 17

Gambar III 2.10 Blok Diagram Transmitter ... 21

Gambar III 2.11 Blok Diagram OFDM Receiver ... 22

Gambar III 3.1 Model SystemC dalam Estándar C++ ... 24

Gambar III 3.2 Sebuah Modul, Port, Proses dan Sinyal ... 25 

Gambar III 3.3 Circuit Half Adder ... 25

Gambar III 3.4 Sebuah dasar model TDF dengan 3 modul TDF dan 2 sinyal TDF ... 29

Gambar III 3.5 Modul primitive TDF yang mengimplementasikansebuah sumber sinusoidal ... 32

Gambar III 3.6 Modul TDF primitive tertanam sebuah waktu kontinius Laplace Transfer Function (LTF) ... 33

Gambar III 3.7 TDF fase elaborasi dan simulasi ... 36

Gambar IV 4.1 Block Diagram Top Level FSK ... 38

(9)

DAFTAR TABEL

(10)

ABSTRAK

Perkembangan teknologi dalam bidang elektronika sangat pesat yang meliputi perancangan modulator dan demodulator digital.

Dalam Tugas Akhir ini dirancang suatu perangkat lunak seperti modulator demodulator FSK yang didalamnya berupa desain digital. Modulasi digital merupakan proses mengubah-ubah karakteristik dan sifat gelombang pembawa (carrier) sedemikian rupa sehingga bentuk hasilnya (modulated carrier) memiliki ciri-ciri dari bit-bit (0 atau 1) yang dikandungnya. Melalui proses modulasi digital sinyal-sinyal digital setiap tingkatan dapat dikirim ke penerima dengan baik. Perancangan modem perangkat lunak ini memiliki parameter-parameter seperti : tingkat modulasi dan frekuensi.

(11)

ABSTRAK

Perkembangan teknologi dalam bidang elektronika sangat pesat yang meliputi perancangan modulator dan demodulator digital.

Dalam Tugas Akhir ini dirancang suatu perangkat lunak seperti modulator demodulator FSK yang didalamnya berupa desain digital. Modulasi digital merupakan proses mengubah-ubah karakteristik dan sifat gelombang pembawa (carrier) sedemikian rupa sehingga bentuk hasilnya (modulated carrier) memiliki ciri-ciri dari bit-bit (0 atau 1) yang dikandungnya. Melalui proses modulasi digital sinyal-sinyal digital setiap tingkatan dapat dikirim ke penerima dengan baik. Perancangan modem perangkat lunak ini memiliki parameter-parameter seperti : tingkat modulasi dan frekuensi.

(12)

BAB I PENDAHULUAN

1.1 Latar Belakang

Di zaman era globalisasi sekarang ini perkembangan teknologi tampak maju pesat. Hal ini terbukti pada dunia elektronika yang makin menyebar luas disebabkan pada dunia pendidikan yang sudah mulai mengupas dan melakukan berbagai macam pengujian, sehingga hal tersebut dapat menjadi acuan berkembanganya dunia elektronika. Rangkaian elektronika mempunyai dua jenis dan tipe yaitu analog dan digital. Tipe digital memiliki kemampuan yang lebih efien dan praktis daripada

analog.

Jika suatu rancangan skema rangkaian digital telah didapat, skema tersebut diterjemahkan dalam bahasa VHDL (Verilog Hardware Description Language) dan dientri dengan menggunakan software pemrogram. Program dalam bahasa VHDL merupakan sekelompok instruksi yang menggambarkan fungsi/gerbang logika dasar dan fungsi-fungsi lainnya.

(13)

Sesuai dengan uraian diatas penulis menggunakan bahasa pemograman SystemC AMS untuk merealisasikan rancangan modulator demodulator FSK (Frequency Shift Keying). Rancangan modem perangkat lunak yang telah dibuat disimulasikan dengan menggunakan SystemC AMS. Hasil simulasi ditampilkan pada SystemC AMS berupa tampilan data-data

1.2 Rumusan Masalah

Yang menjadi rumusan masalah dalam Tugas Akhir ini adalah : 1. Bagaimana prinsip kerja modem FSK.

2. Bagaimana SystemC AMS digunakan untuk membuat modem FSK.

3. Bagaimana hasil simulasi perancangan modem FSK dengan SystemC AMS.

1.3 Tujuan Penulisan

Tujuan penulisan Tugas Akhir ini adalah merancang dan menganalisis modulator demodulator FSK yang dibuat dengan SystemC AMS.

1.4 Batasan Masalah

Untuk menghindari pembahasan yang meluas maka penulis akan membatasi pembahasan Tugas Akhir ini dengan hal-hal berikut :

1. Modulator demodulator yang dirancang di dalam Tugas Akhir adalah modem FSK.

2. Simulasi dilakukan dengan bantuan SystemC AMS.

1.5 Manfaat Penulisan

(14)

1.6 Metodologi Penulisan

Metode penulisan yang digunakan pada Tugas Akhir ini adalah :

1. Studi Literatur, yaitu dengan membaca teori-teori yang berkaitan dengan topik Tugas Akhir yang terdiri dari buku-buku referensi baik yang dimiliki oleh penulis atau dari perpustakaan dan juga dari artikel-artikel, jurnal, internet, dan lain-lain. 2. Rancangan, yaitu berupa perancangan simulasi modem FSK dengan

menggunakan SystemC AMS.

1.7 Sistematika Penulisan

Untuk memberikan gambaran mengenai Tugas Akhir ini secara singkat, maka penulis menyusun sistematika penulisan sebagai berikut :

BAB I : PENDAHULUAN

Bab ini merupakan pendahuluan yang berisikan tentang Latar Belakang Masalah, Tujuan Penulisan, Batasan Masalah, Metodologi Penulisan dan Sistematikan Penulisan.

BAB II : SISTEM KOMUNIKASI DIGITAL

Bab ini menjelaskan tentang sistem komunikasi digital, jenis-jenis modulasi digital, perbandingan kinerja beberapa komunikasi digital. BAB III : SYSTEMC

Bab ini menjelaskan tentang analisis kebutuhan sistem dan rancangan modem FSK dengan menggunakan SystemC.

BAB IV : PERANCANGAN DAN PRINSIP KERJA

Bab ini menjelaskan tentang penerapan dan pengujian sistem modem FSK meliputi tingkat modulasi, panjang data, kapasitas dan kecepatan serta menentukan analisis hasil.

BAB V : KESIMPULAN DAN SARAN

(15)

BAB II

SISTEM KOMUNIKASI

2.1 Sistem Komunikasi Digital

Modulasi merupakan perubahan parameter dari sinyal carrier menjadi sinyal informasi. Modulasi adalah pengaturan parameter dari sinyal pembawa (carrier) yang berfrekuensi tinggi sesuai sinyal informasi (pemodulasi) yang frekuensinya lebih rendah, sehingga informasi tadi dapat disampaikan. Proses modulasi membutuhkan dua buah sinyal yaitu sinyal pemodulasi yang berupa dinyal informasi yang dikirim, dan sinyal carrier dimana sinyal informasi tersebut ditumpangkan. Tujuan dilakukannya proses modulasi antara lain :

1. untuk memudahkan proses radiasi

a. Pada kanal komunikasi berupa udara, diperlukan antena untuk proses pemancaran/radiasi dan penerimaan sinyal.

b. Dimensi antena adalah berbanding terbalik dengan frekwensi sinyal yang dipancarkan/diterimanya.

2. untuk memungkinkan multiplexing jika sebuah media transmisi dapat digunakan oleh beberapa kanal, maka modulasi dapat digunakan untuk menempatkan masing- masing kanal pada wilayah spektrum frekwensi yang berbeda. Contohnya : teknik fdm pada system telepon.

Informasi yang akan disampaikan berbentuk sinyal digital, yaitu pulsa yang menyatakan nilai 1 & 0. Sinyal digital ini tidak dapat ditransmisikan begitu saja menggunakan radio,karena bandwidth (lebar pita) yang dipakai oleh sinyal digital terlalu lebar.Sinyal ini harus dimodifikasi agar ia dapat ditrasmisikan. Modifikasi terhadap sinyal ini dinamakan modulasi.

(16)

sepanjang jalan untuk memastikan bahwa data yang diterima dapat dimengerti dengan baik. Sistem komunikasi ditunjukkan pada Gambar 2.1[1].

Gambar 2.1 Sistem Komunikasi

Data masukan berupa bit-bit biner yang diinputkan melalui source dan dilanjutkan kepada transmitter berfungsi untuk mengubah data menjadi sinyal yang dapat dikirim dan kemudian dilanjutkan ke transmisi sistem yang berfungsi untuk mengirim data hingga ke penerima (receiver) yang mengubah, setelah itu digunakan destination yang merupakan sebagai pengguna data yang diterima.

Dimana source dan transmitter adalah bagian dari source system sedangkan receiver (penerima) dan destination (pengguna data yang diterima) adalah bagian dari destination system.

2.1.1 Pola Komunikasi

Dalam hal ini komunikasi dapat diklasifikasikan menurut : 1. Arah informasi : Satu arah – dua arah.

(17)

2.1.2 Arah Informasi

Arah informasi pada sistem komunikasi terbagi atas :

1. Simpleks : Komunikasi satu arah dimana informasi berjalan hanya satu arah. 2. Dupleks : Komunikasi dua arah dimana informasi berjalan dua arah yang

berlawanan.

Arah informasi secara dupleks terdiri dari :

A. Full dupleks : Kedua tempat yang berkomunikasi dapat mengirim dan menerima informasi secara bersamaan.

B. Half dupleks : Kedua tempat yang berkomunikasi, mengirim dan menerima informasi secara bergantian[1].

2.1.3 Tipe sinyal yang ditrasmisikan

Tipe sinyal yang ditransmisikan merupakan sinyal analog. Sinyal analog merupakan perubahan nilai (amplitudo) sinyal berlangsung secara kontinyu. Pada Gambar 2.2 menunjukkan sinyal analog[1].

Sinyal yang intesitas/ kekuatan sinyalnya bervariasi tergantung perubahan waktunya.Dengan kata lain, tidak ada sinyal yang berkelanjutan.Dalam fungsi matematisnya, dianalogikan dalam rumus sebagai berikut :

Lim (t)=s(a), untuk semua a t = ∞

(18)

Sinyal yang intesitasnya berada dalam level yang konstan terhadap waktu. Sinyal digital merupakan hasil teknologi yang mengubah sinyal tersebut menjadi kombinasi urutan bilangan 0 dan 1 untuk proses informasi yang mudah, cepat, dan akurat. Sinyal tersebut disebut sebuah bit.

Perubahan nilai sinyal (amplitudo) berlangsung secara diskrit. Sinyal Digital ditunjukkan pada Gambar 2.3.

Gambar 2.3 Sinyal Digital

2.1.4 Keaslian Sinyal

Pada sinyal baseband sinyal informasinya menampakkan spektrum frekuensi asalnya. Pada sinyal hasil modulasi sinyal asalnya (baseband) ditumpangkan kepada suatu sinyal pembawa yang mempunyai frekuensi yang jauh lebih tinggi. Prosesnya disebut modulasi, digunakan untuk mengatasi ketidaksesuaian karakter sinyal dengan media (kanal) yang digunakan[1].

Contoh :

(19)

2.2 Modulasi Digital

Modulasi digital merupakan proses penumpangan sinyal digital (bit stream) ke dalam sinyal carrier. Modulasi digital sebenarnya adalah proses mengubah-ubah karakteristik dan sifat gelombang pembawa (carrier) sedemikian rupa sehingga bentuk hasilnya memiliki ciri-ciri dari bit-bit (0 atau 1). Berarti dengan mengamati sinyal carriernya, kita bisa mengetahui urutan bitnya disertai clock

(timing,sinkronisasi). Melalui proses modulasi digital sinyal-sinyal digital setiap tingkatan dapat dikirim ke penerima dengan baik. Untuk pengiriman ini dapat digunakan media transmisi fisik (logam atau optik) atau non fisik (gelombang-gelombang radio)[1].

2.2.1 Konsep Modulasi Digital

Dalam hal ini konsep modulasi digital ada dua yaitu, modulator dan demodulator. Modulator melakukan proses modulasi, ada ditransmitter. Demodulator melakukan proses demodulasi, yakni mengembalikan sinyal hasil modulasi ke bentuk semula, ada di receiver. Gambar 2.4 menunjukkan Proses Modulator[2].

Gambar 2.4 Proses Modulator

2.2.2 Jenis Teknik Modulasi Digital

(20)

2.2.2.1 FSK (Frekuensi shift keying)

Frekuensi Shift Keying (FSK) adalah modulasi frekuensi skema di mana informasi digital ditularkan melalui perubahan frekuensi diskrit suatu gelombang pembawa. FSK termudah adalah FSK biner (BFSK). BFSK berarti menggunakan sepasang frekuensi diskrit untuk mengirimkan biner (0s dan 1s) informasi. Dengan skema ini, "1" disebut frekuensi tanda dan "0" disebut frekuensi ruang. Domain waktu dari sebuah carrier termodulasi FSK diilustrasikan pada Gambar 2.5 [2].

Gambar 2.5 Modulasi FSK

Pada system FSK, dua buah sinyal sinusoidal dengan amplituda maksimum sama Ac, tetapi frekuensi berbeda, f1 dan f2, digunakan untuk merepresentasikan biner 1 dan 0. Secara matematis dapat dituliskan.

) 2 cos( )

(t A f1t

sc  untuk simbol ‘1’

) 2 cos( )

(t A f2t

sc  untuk simbol ‘0’

(21)

Gambar 2.6 Gelombang Termodulassi FSK

Modulasi FSK merupakan modulasi yang mempunyai kinerja yang lebih baik dan menggunakan system deteksi yang lebih sederhana dibandingkan dengan PSK. Oleh karena itu penerapan cukup luas pada system trasmisi data.

Frequency Shift Keying (FSK) relative sederhana, FSK memiliki bentuk penampakan gelombang yang konstan dari modulasi sudut yang similar (sama) terhadap frekuensi modulasi konvensional kecuali bahwa sinyal modulasinya adalah untaian pulsa biner yang bervariasi di antara dua level tegangan diskrit dibanding perubahan bentuk gelombang secara terus-menerus.

2.2.2.2 Pemancar FSK

Dengan FSK biner, frekuensi center dan carriernya digeser (dideviasikan) oleh data masukan biner. Konsekuensinya, output dari sebuah modulator FSK adalah fungsi bertingkat dalam domain frekuensi. Sinyal input biner berubah dari logika “0” ke logika “1”, dan sebaliknya, output FSK di geser di antara 2 frekuensi: frekuensi “mark” atau berlogikakan 1 dan frekuensi “space” atau berlogika 0.

(22)

kecepatan outputnya sama terhadap perubahan kecepatn inputnya. Pada modulasi digital, perubahan kecepatan pada input modulator disebut “bitrate” dan mempunyai satuan bit per second (bps). Perubahan kecepatan pada output modulator disebut “baud” atau “baudrate” dan sama dengan waktu dari satu elemen sinyal output. Pada FSK perubahan kecepatan input dan outputnya adalah sama, sehingga bit rate dan

baudrate adalah sama [2].

2.2.2.3 Bandwidth dari FSK

Sebagaimana semua system komunikasi alat elektronik, bandwidth adalah salah satu yang penting ketika mendesain sebuah pemancar FSK. FSK sama seperti system modulasi konvensional dan juga dapat dijelaskan dalam sebuah pengertian yang sederhana.

Sebuah modulator FSK merupakan sebuah tipe dari pemancar FM dan sering disebut voltage controlled oscillator (VCO). Hal ini dapat dilihat dari kecepatan perubahan input ketika input biner adalah saling pergantian logika 1 dan logika 0,dinamakan gelombang kotak.

Frekuensi dasar dari sebuah gelombang biner adalah sama dengan setengah dari kecepatan bit. Konsekuensinya, jika hanya frekuensi dasar dari input

dipertimbangkan, frekuensi modulasi tertinggi dari modulasi FSK adalah setengah dari bitrateinput. Frekuensi rest (tunda) dari VCO dipilih seperti pada saat setengah jalan diantara frekuensi mark dan frekuensi space. Sebuah kondisi logika 1 pada input

menggeser VCO dari frekuensi restnya ke frekuensi mark, dan kondisi logika 0 pada

input menggeser VCO dari frekuensi rest nya ke frekuensi space. Konsekuensinya, sinyal input biner berubah dari logika 1 ke logika 0 dan sebaliknya, frekuensi output

VCO menggeser atau mendevisiasikan kembali dan seterusnya di antara frekuensi

mark dan frekuensi space. Karena FSK adalah sebuah bentuk dari modulasi frekuensi, maka rumus untuk index modulasi digunakan dalam FM adalah juga cocok untuk FSK. Index modulasi diberikan seperti berikut :

(23)

Dimana

Vm (t) : Digital Information (Modulating) Singnal (-1 or +1V) Vc : Carrier Amplitude (V)

Fc : Analog Carrier Frekuensi (Hz)

∆f : Change (Shif ) in the carrier frequnecy (Hz)

Index modulasi yang buruk adalah index modulasi yang mempunyai

bandwidth output yang lebar, yang disebut sebagai rasio deviasi. Kejelekan atau

bandwidth yang lebar terjadi ketika kedua frekuensi deviasi dan frekuensi modulasi berada pada nilai maksimum.

Pada sebuah modulator FSK, F adalah puncak frekuensi deviasi dari

carriernya sama dengan selisih antara frekuensi rest dan lainnya atau frekuensi mark

atau frekuensi space (atau setengah selisih antara frekuensi mark dan frekuensi

space). Puncak frekuensi deviasi tergantung pada amplitude dari sinyal modulasinya. Dalam sebuah sinyal digital biner, semua logika 1 mempunyai tegangan yang sama dan semua logika 0 mempunyai tegangan yang sama.

Konsekuensinya, deviasi frekuensi konstan dan selalu berada pada harga yang maksimum. Fa sama dengan frekuensi dasar dari input biner yang berada pada saat kondisi di bawah kasus paling buruk (worst case)

(24)

Dengan konvensional FM, bandwith secara langsung seimbang terhadap index

modulasi. Sebagai akibatnya index modulasi pada FSK pada umumnya tetap dibawah 1,0 oleh karena itu menghasilkan output spectrum narrowband FM yang relative.

Bandwith minimum yang dikehendaki untuk mempropagasi sebuah sinyal disebut

bandwith Nyquist minimum (Fn). Pada saat modulasi digunakan dan outputspectrum

sebuah double-side dihasilkan, bandwith minimum disebut bandwith Nyquistdouble

-side minimum atau bandwith IF minimum. Setiap sisi frekuensi dipisahkan dari frekuensi pusat atau sebuah sisi frekuensi yang berdekatan dengan harga yang sama ke modulasi frekuensi, yanga mana pada contoh ini adalah10 MHz (Fb/2). Output spectrum dari modulasi ini dapat dilihat bahwa bnadwith Nyquist double-side

minimum adalah 60 MHz dan harga band adalah 20 megabaund, sama dengan bit rate.

Karena FSK adalah bentuk dari narrowband FM, bandwith minimum tergantung pada index modulasi. Untuk index modulasi antara 0,5 dan 1 salah satu dari 2 atau 3 set dari sisi frekuensi yang berarti diperoleh. Oleh karena itu bandwith

minimum adalah 2 atau 3 inputbitrate.

2.2.2.4 Penerima FSK

Sirkuit yang paling umum yang digunakan untuk sinyal demodulasi FSK adalah Phase-Locked Loop (PLL). Sebuah PLL FSK demodulator bekerja sangat banyak seperti PLL-FM demodulator. Sebagai input untuk daya PLL antara Mark dan

Space frekuensi [2].

Tegangan error dc pada output phasa comparator sesuai dengan daya frekuensi. Karena hanya ada 2 input frekuensi (Mark dan Space), maka output

tegangan error hanya 2. Salah satunya berupa logic 1 dan lainnya logic 0. Oleh karena itu, outputnya adalah 2 level (binary) merepresentasikan input FSK.

(25)

FSK mempunyai performance error yang sedikit dibanding PSK atau QAM. Dan sebagai akibatnya,jarang digunakan untuk performance tinggi system radio digital. Penggunaanya dibatasi untuk performance rendah, harga-rendah, modem data disynkronous yang digunakan pada komunikasi data over analog, band saluran telepon.

Pembangkitan sinyal BFSK dilakukan dengan melalukan data biner dalam format polar ke modulator frekuensi (Voltage Controlled Oscillator), seperti tampak pada Gambar 2.7. Ketika input modulator berubah dari +V ke –V, maka frekuensi yang ditransmisikan akan berubah juga[4].

Gambar 2.7 Pembangkitan Sinyal BFSK

(26)

Gambar 2.8 Constelasi FSK

Karena semua vektor sama-sama terletak di tepat satu sumbu, daya transmisi untuk setiap vektor konstan. Maka konstelasi ini sangat cocok untuk sistem komunikasi yang memerlukan daya konstan untuk transmisi. Unsur yang optimal dalam hal ini hanyalah vektor yang duduk di kuadran yang membawa spektral daya maksimum sinyal yang diterima. Ini hanyalah vektor cocok untuk kuadran yang memberikan nilai maksimal di outlet detektor. Hasil ini sangat intuitif karena kita tahu bahwa kanal AWGN memiliki kemungkinan tipis untuk mengalihkan sinyal dari satu kuadran yang lain. Pelaksanaan elemen keputusan sangat sederhana dalam hal ini.

Karena semua vektor sama-sama terletak di tepat satu sumbu, jarak antara setiap beberapa vektor konstan. Dengan kata lain, jarak antar vektor yang independen dengan jumlah vektor. Kenyataan ini hanya berarti bahwa kita tidak harus merusak BER dalam rangka untuk menambah pesan lebih memungkinkan untuk transmisi. Perhatikan bahwa dalam konstelasi lain selalu ada ketegangan antara BER dan jumlah pesan, atau data rate (ingat bahwa lebih banyak kemungkinan untuk pesan berarti tarif yang lebih tinggi data).

Kekurangan:

(27)

hanya terbatas karena tempat persyaratan akut untuk bandwidth sistem komunikasi yang digunakan.

Meskipun metode ini memiliki sifat tertinggi banyak, terutama yang menyiratkan BER konstan untuk pesan sebanyak yang kami inginkan, fakta bahwa metode ini mengkonsumsi meningkatkan jumlah bandwidth hanya membuat metode ini tidak praktis. konstelasi FSK dapat ditemukan ketika sejumlah kecil pesan sedang dikirim, atau ketika ada persyaratan yang memberatkan dari keandalan (yang BER) dari sistem komunikasi.

2.2.3 SISTEM KOMUNIKASI DIGITAL ANALOG

Sistem analog/digital memproses sinyal-sinyal bervariasi dengan waktu yang memiliki nilai-nilai kontiniu/diskrit. Beberapa keuntungan sistem komunikasi digital dibandingkan dengan sistem komunikasi analog dijelaskan sebagai berikut.

2.2.3.1 Multipleksing

(28)
(29)
(30)
(31)

2.2.4 Persinyalan

Pada dasarnya, persinyalan yang membawa informasi kendali komunikasi merupakan bagian dari sistem transmisi digital. Informasi tersebut dapat digabungkan ke dalam jalur transmisi digital bersama-sama dengan informasi kendali TDM yang dengan mudah dapat diidentifikasi sebagai kanal kendali komunikasi. Pendekatan lain adalah menyisipkan kode kendali ke dalam kanal data yang dapat diidentifikasi dan diterjemahkan sebagai informasi kendali oleh terminal penerima. Pendekatan lain lagi adalah memisahkan informasi kendali dengan informasi data. Fungsi dan format sistem persinyalan dapat dimodifikasi secara terpisah tanpa mempengaruhi sistem transmisi data secara keseluruhan. Demikian pula sebaliknya, sistem transmisi digital dengan mudah dapat diperbaharui tanpa mempengaruhi sistem persinyalan [4].

2.2.5 Integrasis Sistem Transmisi dan Switching

Dengan system komunikasi tradisional membedakan antara sistem transmisi dengan sistem penyambungan telepon. Sementara di sistem komunikasi digital, fungsi TDM sangat mirip dengan fungsi Time Division Switching sehingga fungsi TDM dengan mudah dapat diintegrasikan di dalam perangkat penyambungan.

2.2.6 Regenerasi Sinyal

(32)

2.2.7 Kemudahan Enkripsi

Meskipun pengguna telepon belum begitu membutuhkan sistem enkripsi data, kemudahan proses enkripsi dan deskripsi terhadap sinyal digital merupakan fitur ekstra dari sistem komunikasi digital. Secara kontras, sinyal suara analog sangat sulit untuk dienkripsi sehingga sangat mudah untuk disadap di sepanjang jalur komunikasi.

2.2.8 Sistem Tranceiver OFDM

Modul pemancar dan penerima terdiri lagi dari sub modul, yang terkandung di perpustakaan. Gambar 4.3 dan Gambar 4.4 menyajikan struktur internal modul pemancar dan modul penerima, masing-masing. pemancar mengambil aliran serial

digit biner. Dengan multiplexing terbalik, ini adalah de pertama-multiplexed ke

paralel N aliran, dan masing-masing satu dipetakan ke aliran simbol menggunakan modulasi FSK

Gambar 2.10 Blok Diagram Transmitter

Penerima menerima sinyal dari antena, yang kemudian dicampur ke

(33)

detektor simbol yang sesuai. Aliran ini kemudian kembali digabungkan menjadi aliran serial, yang merupakan perkiraan aliran biner asli di

transmitter.

(34)

BAB III SYSTEMC

3.1 Umum

SystemC menyediakan satu bahasa untuk menentukan komponen perangkat keras dan perangkat lunak, menyediakan satu bahasa untuk memfasilitasi simulasi

hardware software dan menyediakan bahasa tunggal untuk memfasilitasi langkah demi langkah perbaikan desain sistem sampai ke tingkat register transfer untuk sintesis. Selain daripada SystemC itu sendiri, SystemC AMS (Analog Mixed Signal) merupakan perpanjangan SystemC untuk sistem desain analog. SystemC WMS (Wave Mixed Signal) untuk pemodelan sinyal campuran dan simulasi dengan menggunakan konsep kejadian dan menghasilkan gelombang. Perluasan untuk SystemC terhadap sistem sinyal campuran memungkinkan pembuatan model tingkat tinggi baik digital dan analog dalam simulasi, sehingga memungkinkan evaluasi cepat kinerja sistem yang lengkap. Berikut ini akan dijelaskan masing-masing[5].

3.2 SystemC

SystemC didasarkan pada bahasa pemrograman C++. C++ adalah objek bahasa pemodelan berorientasi. SystemC memperluas kemampuan C++ dengan memungkinkan pemodelan deskripsi perangkat keras. SystemC menambahkan konsep-konsep penting seperti ke C++ untuk menjalankan beberapa proses secara bersamaan, waktu kejadian dan tipe data. SystemC menambahkan sebuah perpustakaan kelas untuk C++ untuk memperluas kemampuan C++. Perpustakaan kelas bukan modifikasi C++, namun fungsi perpustakaan, tipe data dan bahasa lainnya konstruksi yang legal kode C++[5].

Dasar SystemC adalah C++, dapat menggunakan standar C++ untuk pengembangan bahasa pemrograman yang tersedia dalam membuat, mensimulasikan,

(35)

dapat mengkompilasi dan menjalankan model SystemC untuk memahami perilaku sistem. Gambar 3.1 menunjukkan bagaimana menggunakan model SystemC dalam standar developtment C+ +. [5]

Gambar 3.1 Model SystemC dalam standar C++.

(36)

Gambar 3.2 menunjukkan pandangan yang disederhanakan dari sebuah modul. Memiliki port input dua diidentifikasi dengan sc_in, dan port output dua diidentifikasi dengan sc_inout. Modul ini memiliki dua proses dari jenis

SC_MODULE dan berisi dari modul lain. Sinyal, diidentifikasi dengan sc_signal,

digunakan untuk menghubungkan dua proses dan modul anak [3].

Gambar 3.2 Sebuah modul, port, proses dan sinyal.

Pada Gambar 3.3 diperlihatkan sebuah sirkuit half-adder pada model SystemC

(37)

Berikut adalah contoh program untuk sirkuit half_adder pada SystemC.

// File: half_adder.h #include ”SystemC.h”

SC_MODULE (half-adder) { sc_in<bool> a, b;

sc_out<bool> sum, carry;

void prc_half_adder () ;

SC_CTOR (half_adder) {

SC_METHOD (prc_half_adder) ; sensitive << a << b;

} };

//File: half_adder.cpp #include “half_adder.h”

Void half_adder::prc_half_adder () { sum = a ^ b;

carry = a & b; }

Sirkuit half_adder terdiri dari dua file yaitu half_adder.h dan half_adder.cpp.

Half_adder.h (Kepala file) berisi deskripsi modul dan deklarasi selama proses, untuk

half_adder.cpp (teks file program C++) berisi defenisi dari proses[5].

(38)

SystemC WMS adalah perpustakaan kelas C++, yang dikembangkan untuk bekerja bersama dengan SystemC, yang memungkinkan pengguna untuk model, simulasi, dan debug yang kompleks yang dijelaskan di tingkat sistem, yang terdiri dari blok sinyal analog dan campuran, atau bahkan blok operasi pada domain fisik yang berbeda (misalnya, transduser, MEMS, aktuator dan sistem mekanik, dll). Perpanjangan SystemC untuk sinyal campuran aplikasi saat ini sedang dalam pembangunan. Tujuan perpanjangan ini adalah mendesain dan melakukan simulasi bagian mekanik baik elektronik sirkuit analog dan digital dalam sistem[4].

SystemC WMS menerapkan ekstensi SystemC untuk memungkinkan pemodelan sinyal campuran dan simulasi dengan menggunakan konsep kejadian dan tercermin gelombang. Perluasan untuk SystemC terhadap sistem sinyal campuran memungkinkan pembuatan model tingkat tinggi eksekusi baik digital dan analog subsistem dalam simulasi yang sama, sehingga memungkinkan evaluasi cepat kinerja sistem yang lengkap. SystemC WMS cocok untuk digunakan dalam beberapa aplikasi yang berbeda, misalnya kontrol sistem elektronik dan mekanik dalam aplikasi otomotif, atau simulasi analog RF dan blok digital tingkat tinggi di berbagai jaringan nirkabel teknologi, seperti Bluetooth[4].

3.4 SystemC AMS (Analog Mixed Signal)

SystemC AMS memperkenalkan bahasa baru untuk sistem desain analog tertanam. Ada tren yang berkembang antara hardware/ software (HW/SW) sistem analog dan lingkungan fisik mereka. Hal ini menyebabkan sistem dimana digital HW / SW secara fungsional terjalin dengan analog dan sinyal blok campuran seperti RF

interface, elektronika daya, sensor dan aktuator, seperti ditunjukkan misalnya dengan sistem komunikasi dalam Gambar 3.4 menyebutnya sistem Embedded Analog / Mixed-Signal (E-AMS)[5].

(39)

simulasi analog berinteraksi / sistem sinyal campuran dan HW / SW sistem di tingkat fungsional dan arsitektur.

SystemC AMS memberikan dukungan untuk aliran sinyal, aliran data, dan jaringan listrik. Ekstensi sepenuhnya kompatibel dengan standar SystemC, jaringan listrik dan model aliran sinyal menggunakan linear DAE yang memecahkan sistem persamaan dan yang disinkronisasi dengan kernel SystemC. Penggunaan linear DAE membatasi jaringan dan komponen sinyal mengalir ke model linier untuk menyediakan kinerja tinggi simulasi. Data simulasi aliran dipercepat dengan menggunakan penjadwalan statis yang dihitung sebelum mulai simulasi. Jadwal ini diaktifkan dalam langkah waktu diskrit, di mana sinkronisasi dengan kernel SystemC memperkenalkan semantik waktunya. Karena itu, disebut "time" aliran data (TDF).

3.4.1 Pemodelan Timed Data Flow (TDF)

SystemC AMS menentukan bentuk pemodelan penting yang diperlukan untuk mendukung sifat pemodelan AMS pada berbagai tingkat abstraksi. Bentuk pemodelan ini diimplementasikan dengan menggunakan berbagai model perhitungan yaitu, Timed Data Flow (TDF), Linear Signal Flow (LSF), dan Electrical Linear Networks (ELN). Dalam hal ini bentuk pemodelan yang digunakan adalah Timed Data Flow (TDF)[6].

Model perhitungan Timed Data Flow (TDF), didasarkan pada pemodelan

Synchronous Data Flow (SDF). Tidak seperti model SDF dibatasi waktu perhitungan, TDF adalah pemodelan waktu diskrit, yang menganggap data sebagai sinyal sampel dalam waktu. Sinyal ini ditandai titik-titik diskrit dalam waktu dan membawa nilai-nilai diskrit atau kontiniu seperti amplitudo[6].

Gambar 3.4 menunjukkan prinsip dasar dari pemodelan Timed Data Flow

(TDF). Dalam gambar ini, ada tiga modul TDF berkomunikasi yang disebut A, B, dan C. Model TDF A terdiri dari satu set tersambung modul TDF, yang membentuk grafik diarahkan disebut cluster TDF. Modul TDF adalah simpul dari grafik, dan sinyal TDF sesuai dengan tepi. Sebuah modul TDF memiliki beberapa port input dan

(40)

Modul TDF berisi metode C++ yang menghitung fungsi matematika f (yaitu, fA, fB, dan fC), yang tergantung pada input dan keadaan internal. Perilaku keseluruhan dari cluster itu didefinisikan sebagai komposisi matematis dari fungsi modul TDF yang sesuai, fC (fB (fA (...))), ditandai dengan (A -> B -> C) pada Gambar 3.6[7].

Gambar 3.4 Sebuah dasar model TDF dengan 3 modul TDF dan 2 sinyal TDF

3.4.2 Konstruksi bahasa modul TDF

Sebuah modul TDF adalah modul primitif yang ditetapkan pengguna untuk menetapkan waktu diskrit atau untuk menanamkan waktu kontiniu. Contoh di bawah ini menunjukkan struktur khas modul TDF.

SCA_TDF_MODULE(my_tdf_module) 1

{

// port declarations

sca_tdf::sca_in<double> in; 2 sca_tdf::sca_out<double> out;

SCA_CTOR(my_tdf_module) {} 3

void set_attributes() 4

(41)

// module and port attributes

}

void initialize() 5

{

// initial values of ports with a delay

}

void processing() 6

{

// time-domain signal processing behavior or algorithm

}

void ac_processing() 7

{

// small-signal frequency-domain behavior

} };

class my_second_module : public sca_tdf::sca_module 8 {

public:

// port declarations // ...

my_second_module( sc_core::sc_module_name ) {} 9

// definition of the TDF member functions as done above // …

(42)

Keterangan ;

1. Deklarasi modul primitif menggunakan SCA_TDF_MODULE makro untuk mendefinisikan kelas baru dari kelas sca_tdf::sca_module.

2. Sebuah modul TDF dapat memiliki beberapa port input dan output.

3. Perintah konstruktor menggunakan SCA_CTOR makro yang telah ditetapkan, yang perlu memiliki nama modul sebagai argumen.

4. Opsional fungsi member set_attributes, di mana TDF modul dan port atribut dapat didefinisikan. User tidak diperbolehkan untuk memanggil fungsi

member ini secara langsung. Hal ini disebut oleh kernel simulasi selama

elaborasi.

5. Fungsi member opsional initialize, untuk inisialisasi anggota data yang mewakili bagian modul dan terutama awal port dengan penundaan ditugaskan

user tidak diizinkan untuk memanggil fungsi ini member secara langsung. Hal ini disebut oleh kernel simulasi, pada akhir elaborasi, tepat sebelum simulasi transien dimulai.

6. Perintah fungsi member processing, yang merangkum fungsi pengolahan sinyal yang sebenarnya. User tidak diperbolehkan untuk memanggil fungsi

member ini secara langsung. Hal ini disebut oleh kernel simulasi sebagai bagian dari waktu-domain (transien) simulasi, dimana setiap kemajuan aktivasi modul waktu lokal oleh langkah waktu yang ditetapkan.

7. Opsional fungsi member ac_processing, yang merangkum sinyal-kecil frekuensi-domain (AC) dan sinyal-kecil frekuensi-domain noise. User tidak diperbolehkan untuk memanggil fungsi member ini secara langsung. Hal ini disebut oleh kernel simulasi ketika menjalankan sinyal kecil analisis frekuensi-domain.

8. Deklarasi modul TDF dengan membuat kelas baru berasal dari kelas sca_tdf::sca_module.

(43)

Sebuah modul TDF mengandung unsur-unsur seperti port, sinyal, parameter dan fungsi member untuk time-domain (transien) dan domain frekuensi sinyal kecil (AC) analisis[7].

3.4.3 Pemodelan waktu diskrit

Perilaku waktu diskrit dapat didefinisikan dalam fungsi member processing. Dalam fungsi member, penjelasan algoritma atau prosedural murni dalam C++ dapat diberikan, yang dieksekusi pada setiap aktivasi modul. Pengaktifan modul didefinisikan oleh langkah waktu modul, yang dapat dilakukan user dengan fungsi

member set_timestep atau diperoleh langkah waktu propagasi. Pada Gambar 3.5 diberikan untuk sumber sinusoidal 1 kHz. Dengan mendefinisikan langkah waktu modul 0.125ms, sinyal output sebenarnya akan over-sample dengan faktor 8[7].

Gambar 3.5 Modul primitive TDF yang mengimplementasikan sebuah sumber sinusoidal

Sesuai kode sumber C++ diberikan di bawah ini. Konstruktor memiliki parameter dengan nilai default, yang menentukan amplitudo, frekuensi dan periode sampling (dalam hal ini sama dengan langkah waktu modul) dari gelombang sinus yang dihasilkan oleh sumber. Langkah waktu modul biasanya diatur dalam fungsi

member set_attributes. Sinus fungsi sin, merupakan bagian dari perpustakaan C++, digunakan dalam fungsi member processing. Untuk menulis sampel ke port output,

(44)

SCA_TDF_MODULE(sin_src) {

sca_tdf::sca_out<double> out; // output port

sin_src( sc_core::sc_module_name nm, double ampl_= 1.0, double freq_ = 1.0e3, sca_core::sca_time Tm_ = sca_core::sca_time(0.125, sc_core::SC_MS) )

: out("out"), ampl(ampl_), freq(freq_), Tm(Tm_) {}

void set_attributes() {

set_timestep(Tm); }

void processing() {

double t = get_time().to_seconds(); // actual time

out.write( ampl * std::sin( 2.0 * M_PI * freq * t ) ); }

private:

double ampl; // amplitude

double freq; // frequency

sca_core::sca_time Tm; // module time step

};

3.4.4 Pemodelan waktu kontiniu

(45)

Meskipun model TDF proses perhitungan sampel pada langkah waktu diskrit, persamaan fungsi ini tertanam akan diselesaikan dengan mempertimbangkan sampel

input sebagai sinyal kontinu-waktu. Hasil dari sistem persamaan linier tertanam dinamis, yang juga terus menerus dalam waktu dan nilai, adalah sampel menjadi sinyal menggunakan time step yang sesuai dengan time step, di mana sampel ditulis[7].

Contoh di bawah ini menunjukkan aliran sinyal terkait saat menanamkan fungsi Laplace transfer (LTF) dalam modul TDF. Sinyal masukan merupakan fungsi langkah sampel. Sinyal diskrit-waktu diinterpretasikan oleh fungsi LTF sebagai sinyal kontinu-waktu. Penyaringan terus sinyal waktu ditulis ke port output. Selama operasi penulisan, sinyal kontinyu waktu sedang sampel menjadi sinyal discretetime

menggunakan atribut port output. Modul TDF primitive tertanam sebuah waktu kontinius Laplace Transfer Function (LTF) ditunjukkan pada Gambar 3.6[7].

Gambar 3.6 Modul TDF primitive tertanam sebuah waktu kontinius Laplace Transfer Function (LTF)

(46)

di mana k adalah keuntungan konstan fungsi transfer, M dan N adalah jumlah koefisien pembilang dan penyebut masing-masing, dan numi dan deni adalah koefisien dinilai sebenarnya dari pembilang dan penyebut masing-masing. Koefisien harus dinyatakan sebagai obyek kelas sca_util::sca_vector dengan tipe data ganda. Penundaan parameter waktu tunda terus diterapkan pada nilai yang tersedia di input. Contoh di bawah ini menunjukkan orde pertama low-pass filter menggunakan

Transfer Laplace fungsi sebagai berikut:

dimana Ho keuntungan DC dan fc adalah filter cut-off frekuensi dalam Hz.

Kode berikut mengimplementasikan seperti perilaku dalam modul TDF menggunakan kelas sca_tdf::sca_ltf_nd, yang dinyatakan dalam sistem persamaan yang sesuai. Koefisien pembilang dan penyebut dihitung dari keuntungan yang ditentukan user dan cut off frekuensi[7].

SCA_TDF_MODULE(ltf_nd_filter) {

sca_tdf::sca_in<double> in; sca_tdf::sca_out<double> out;

(47)

void processing() {

out.write( ltf_nd( num, den, in.read(), h0 ) ); }

private:

sca_tdf::sca_ltf_nd ltf_nd; // Laplace transfer function

sca_util::sca_vector<double> num, den; // numerator and denominator coefficients

double fc; // 3dB cut-off frequency in Hz

double h0; // DC gain

}

3.4.5 TDF eksekusi semantik

Selain perluasan (elaborasi) dan tahap simulasi sebagaimana didefinisikan dalam standar IEEE bahasa SystemC 1666-2005, fungsionalitas tertentu dilaksanakan untuk perluasan dan pelaksanaan model TDF. TDF modul untuk simulasi waktu-domain set_attributes, initialize dan processing. Sebuah user harus overload fungsi-fungsi member untuk melaksanakan inisialisasi pada inisialisasi dan perilaku pengolahan sinyal user TDF modul. Hal ini tidak diperbolehkan untuk memanggil fungsi-fungsi member secara langsung. Seperti digambarkan dalam Gambar 3.7 fase

(48)

Gambar 3.7 TDF fase elaborasi dan simulasi TDF modul inisialisasi: 

melaksanakan semua fungsi anggota menginisialisasi sekali  TDF langkah waktu perhitungan dan propagasi: 

difine waktu langkah dan memeriksa consistancy mereka 

TDF modul posting mengolah: 

melaksanakan fungsi and_of_simulation semua anggota sekali

 

TDF cluster komputabilitas cek: 

Mendefinisikan dan memeriksa jadwal cluster  TDF pengaturan atribut modul: 

Melaksanakan semua set_attributes anggota fungsi 

TDF modul aktivasi dan mengolah: 

(49)

Keterangan:

1. TDF module attribute settings: menjalankan fungsi member set attributes dari semua module TDF.

2. TDF time step calculation and propagation: menyebarkan dan menghitung

port unassigned dan waktu modul langkah- langkah berdasarkan langkah waktu yang ditetapkan dan tingkat port.

3. TDF cluster computability check: menentukan dan memeriksa jadwal cluster.

Langkah-langkah untuk tahap simulasi adalah

1. TDF module initialization : jalankan fungsi member (opsional) inisialisasi semua modul TDF.

2. TDF module activation and processing: Processing terus-menerus melaksanakan fungsi member setiap modul TDF, hingga semua sampel telah diproses.

(50)

BAB IV

PERANCANGAN DAN PRINSIP KERJA

4.1 Prinsip Kerja Modem FSK

Berdasarkan modul telah dijelaskan sebelumnya merupakan pemancar-Receiver FSK System . Pertama, data pengujian yang dihasilkan oleh generator tes dan diteruskan ke pemancar. Modul rand_bool digunakan uji generator data dan

serial universal didistribusikan bit acak yang dihasilkan. pemancar mengambil sinyal-sinyal biner dan memodulasi yang bit untuk sinyal FSK frekuensi tinggi (menggunakan Frekuensi Shift Keying Modulasi).

Gambar 4.1 merupakan tingkat Top blok diagram Transmitter FSK dan Receiver dan menunjukkan diagram blok fungsional sistem FSK Transmitter dan Receiver.

Gambar 4.1 Blok Diagram Top Level FSK

4.2 Perancangan Perangkat Lunak

Sebelum mendesain dan menerapkan suatu algoritma kedalam bahasa pemograman, perancangan merupakan langkah awal dalam proses pembuatan perangkat lunak. Algoritma diaplikasikan ke dalam bahasa pemrograman Visual Studio C++ dengan spesifikasi perangkat lunak sebagai berikut:

1. SystemC-2.2.0

(51)

4.3 Perancangan Flowchart

Berikut Gambar 4.2 Flowchart FSK.

Gambar 4.2 Flowchart

4.4 Memanggil library systemC

(52)

Gambaran secara umum proses yang terjadi dalam modulator demodulator FSK pada SystemC adalah bahwa FSK (FSK Modulator) modul seperti yang dijelaskan dalam Bagian sebelumnya digunakan untuk model pemancar. Sinyal yang dihasilkan kemudian dilewatkan melalui saluran (Dimodelkan menggunakan modul udara) yang melemahkan sinyal input dan menambah kebisingan. Setelah itu sinyal diambil oleh penerima dan diterjemahkan kembali menjadi aliran biner bit. Dalam rangka untuk model sistem komunikasi menggunakan modul di perpustakaan pengguna memiliki untuk memasukkan data masing-masing header

dan mengatur hak namespace pertama:

#include "directory of the library/lib_v_01_11/TUV-AMS-LIBRARY.h"

using namespace TUV_ams_lib::bb;

Kemudian modul diharapkan dari perpustakaan harus instantiated dengan cara berikut:

rand_bool binary_source ("stimuli", rate);

Dimana "rand_bool" adalah nama modul, "binary_source" adalah nama

instance dari modul, "stimulus" dan "rate" adalah tingkat data output modul. Maka SystemC AMS TDF sinyal harus dinyatakan dapat terhubung modul yang berbeda:

sca_tdf::sca_signal<bool> binary_data_tx; binary_source.out (binary_data_tx);

(53)

int sc_main(int argc, char* argv[]) {

sc_set_time_resolution(1, SC_PS);

/********** defining signals and parameters *********** */

sca_tdf::sca_signal<bool> binary_data_tx; sca_tdf::sca_signal<double> modulated_data_tx; sca_tdf::sca_signal<double> modulated_data_noisy_tx; sca_tdf::sca_signal<bool> binary_data_rx;

double freq; int rate;

/********** setting parameters for simulation *********** */

cout <<"\n" << "frequency= "; cin >> freq; cout <<"\n";

cout << "sample rate ="; cin >> rate; cout <<"\n";

/********** instantiating SDF-modules**********/

rand_bool binary_source("stimuli",rate); binary_source.out(binary_data_tx);

binary_source.out.set_timestep(0.1,SC_MS);

(54)

FSK_tr.out(modulated_data_tx);

air channel("air",0.4,"gauss_white",1,0,rate); channel.in(modulated_data_tx);

channel.out(modulated_data_noisy_tx);

FSK_de FSK_rx("FSK_rx",freq,rate); FSK_rx.in(modulated_data_noisy_tx); FSK_rx.out(binary_data_rx);

drain drn("drn"); drn.in(binary_data_rx);

/********* tracing of signals ****************************/ sca_util::sca_trace_file* atf =

sca_util::sca_create_vcd_trace_file( "tr" );

sca_util::sca_trace( atf, binary_data_tx ,"binary_data_tx" );

sca_util::sca_trace( atf, modulated_data_tx ,"modulated_data_tx" ); sca_util::sca_trace( atf, modulated_data_noisy_tx ,"modulated_data_noisy_tx" );

sca_util::sca_trace( atf, binary_data_rx,"binary_data_rx" );

sc_start(20, SC_MS);

sca_util::sca_close_vcd_trace_file( atf ); return 0;

}

(55)

/************************** FSK modulator*******************************/

SC_MODULE(FSK) {

sca_tdf::sca_in<bool> in; sca_tdf::sca_out<double> out;

/***********signal for connecting sub module*********************/

private:

sca_tdf::sca_signal<bool> sig_i; sca_tdf::sca_signal<bool> sig_q; sca_tdf::sca_signal<double> sig_n_i; sca_tdf::sca_signal<double> sig_n_q;

/****************declare sub module******************/ s2p<bool,2>* s2p_sub; nrz* nrz_i_sub;

nrz* nrz_q_sub;

q_mixer_tr* mixer_sub;

public:

(56)

/****************************** r*******************************/

FSK::FSK(sc_core::sc_module_name n, double _freq, int rate) {

s2p_sub = new s2p<bool,2>("i_s2p",1); s2p_sub->in(in);

s2p_sub->out[0](sig_i); s2p_sub->out[1](sig_q);

nrz_i_sub = new nrz("i_sub",1.0); nrz_i_sub->in(sig_i);

nrz_i_sub->out(sig_n_i);

nrz_q_sub = new nrz("q_sub",1.0); nrz_q_sub->in(sig_q);

nrz_q_sub->out(sig_n_q);

mixer_sub = new q_mixer_tr("i_mix",_freq,1.0,rate,false); mixer_sub -> i_in(sig_n_i);

mixer_sub -> q_in(sig_n_q); mixer_sub -> out(out); }

Seperti yang ditunjukkan dari kode sumber pemodelan suatu pemancar FSK cukup mudah bila menggunakan modul yang ada di perpustakaan.

4.5Menggambar grafik

Berikut tampilan program menjalankan gambar grafik.

(57)

tx=(n-1)*0.1:0.1/100:n*0.1; p=(1)*sin(2*pi*fc*tx);

plot(tx,p,'LineWidth',1.5);grid on; hold on;

% axis([0 n*2/fc -3 3]); else

tx=(n-1)*0.1:0.1/100:n*0.1; p=(2)*sin(2*pi*fc*tx);

plot(tx,p,'LineWidth',1.5);grid on; hold on;

end n=n+1;

4.6Tampilan Hasil

Dalam Bagian ini ditunjukkan beberapa hasil simulasi, Berikut ini adalah interface FSK, yang ditampilkan lewat MATLAB GUI dengan data masukan yang tetap tetapi frekuensi berubah mulai dari 10 Hz-30Hz.

(58)

Dari hasil modulasi dengan masukan seperti diatas maka terlihat sinyal modulasi yang diperoleh ditunjjukan seperti gambar diatas, kemudian sinyal demodulasinya berubah menjadi sama dengan sinyal masukan.

2. Dengan masukan [1 1 0 1 0 0 1 1 1 0] dan Fc = 20 Hz ,Vc = 1V

Dari hasil modulasi dengan masukan seperti diatas maka terlihat sinyal modulasi yang diperoleh ditunjukkan seperti gambar diatas, kemudian sinyal demodulasinya berubah menjadi sama dengan sinyal masukan, dengan adanya perubahan frekuensi yang semakin besar = 20 Hz, maka lebar pulsa termodulasi akan semakin kecil.

(59)

Dari hasil modulasi dengan masukan seperti diatas maka terlihat sinyal modulasi yang diperoleh ditunjukkan seperti gambar diatas, kemudian sinyal demodulasinya berubah menjadi sama dengan sinyal masukan, dengan adanya perubahan frekuensi yang semakin besar = 30 Hz, maka lebar pulsa termodulasi akan semakin kecil.

Dalam Bagian ini ditunjukkan beberapa hasil simulasi, Berikut ini adalah interface FSK, yang ditampilkan lewat MATLAB GUI dengan data masukan yang berubah-ubah tetapi frekuensi tetap mulai dari 10 Hz.

1. Dengan Masukan [1 0 1 0 1 1 0 0 1 0] dan Fc = 10 Hz, Vc = 1 V

(60)

2. Dengan Masukan [1 1 1 1 0 0 1 1 0 1] dan Fc = 10 Hz, Vc = 1 V

Dari hasil modulasi dengan masukan seperti diatas maka terlihat sinyal modulasi yang diperoleh ditunjukkan seperti gambar diatas, kemudian sinyal demodulasinya berubah menjadi sama dengan sinyal masukan, dengan adanya perubahan bit biner masukan, maka lebar pulsa termodulasi tetap karena frekuensi masukan tetap sebesar 10 Hz dan yang mengalami perubahan hanya data bit masukan.

Dalam Bagian ini ditunjukkan beberapa hasil simulasi, Berikut ini adalah interface FSK, yang ditampilkan lewat MATLAB GUI dengan data masukan yang berubah-ubah tetapi frekuensi tetap mulai dari 20-50 Hz.

(61)

Dari hasil modulasi dengan masukan seperti diatas maka terlihat sinyal modulasi yang diperoleh ditunjukkan seperti gambar diatas, kemudian sinyal demodulasinya berubah menjadi sama dengan sinyal masukan, dengan adanya perubahan bit masukan yang tetap,dan frekuensi yang berubah maka lebar pulsa termodulasi akan semakin kecil.

2. Dengan Masukan [1 1 1 1 0 0 1 1 0 1] dan Fc = 30 Hz, Vc = 1 V

(62)

3. Dengan Masukan [1 1 1 1 0 0 1 1 0 1] dan Fc = 40 Hz, Vc = 1 V

Dari gambar diatas hasil modulasi dengan masukan seperti diatas maka terlihat sinyal modulasi yang diperoleh ditunjukkan seperti gambar diatas, kemudian sinyal demodulasinya berubah menjadi sama dengan sinyal masukan, dengan adanya perubahan bit masukan yang tetap,dan frekuensi yang berubah sebesar 40Hz, maka lebar pulsa termodulasi akan semakin kecil.

(63)

Dari hasil modulasi dengan masukan seperti diatas maka terlihat sinyal modulasi yang diperoleh ditunjukkan seperti gambar diatas, kemudian sinyal demodulasinya berubah menjadi sama dengan sinyal masukan, dengan adanya perubahan bit masukan yang tetap,dan frekuensi yang berubah maka lebar pulsa termodulasi akan semakin kecil.

4.7 Tabel Hasil

Tabel Modulasi dengan masukan tetap, frekuensi berubah

No Frekuensi (Hz) Bit Biner Carrier Amplitudo (Vc)

1 10 1 1 0 1 0 0 1 1 1 0 1

2 20 1 1 0 1 0 0 1 1 1 0 1

3 30 1 1 0 1 0 0 1 1 1 0 1

4.2 Tabel Modulasi dengan masukan bit berubah, frekuensi tetap

No Frekuensi (Hz) Bit Biner Carrier Amplitudo (Vc)

1 10 1 0 1 0 1 1 0 0 1 0 1

2 10 1 1 1 1 0 0 1 1 0 1 1

4.3 Tabel Modulasi dengan masukan bit tetap, frekuensi berubah

No Frekuensi (Hz) Bit Biner Carrier Amplitudo (Vc)

1 20 1 1 1 1 0 0 1 1 0 1 1

2 30 1 1 1 1 0 0 1 1 0 1 1

3 40 1 1 1 1 0 0 1 1 0 1 1

(64)

BAB V

KESIMPULAN DAN SARAN

5.1 Kesimpulan

Dari perancangan yang telah dilakukan, maka dapat diambil beberapa kesimpulan yaitu :

1. Pada modulasi Frekuensi Shift Keying (FSK) besarnya frekuensi gelombang

pembawa berubah-ubah sesuai dengan perubahan ada atau tidak adanya sinyal

informasi digital. Dalam proses ini gelombanh pembawa digeser ke atas dan

ke bawah untuk memperoleh bit 1 dan 0. Kondisi ini masing-masing disebut

“space” dan “mark”.Apabila bit input adalah ‘1’ maka sinyal akan rapat

sedangkan bila bit input adalah ‘0’ maka sinyal FSK akan renggang.

2. Semakin besar frekuensi masukan, maka semakin kecil lebar pulsa modulasi

yang di hasilkan.

3. Sinyal termodulasi setelah mengalami demodulasi maka bentuk sinyal

modulasi kembali seperti sinyal masukan awal.

5.2 Saran

Saran yang dapat penulis berikan pada Tugas Akhir ini , yaitu :

1. Aplikasi ini dapat dikembangkan dengan cara menghitung tingkat modulasi, panjang data, kapasitas dan kecepatan, dan BER (Bit Error).

(65)

DAFTAR PUSTAKA

1. Shoji Shigeki, Suhana, Ir. 2004. Teknik Telekomunikasi. Pradnya Paramita. Jakarta.

2. “SistemKomunikasi”,http://file.upi.edu/Direktori20Sistem%20Komunikasi%2

0Digital/MODUL%20DASAR%20TELEKOMUNIKASI.pdf, tanggal akses

23 Juli 2010.

3. Willsky Alan S, Oppenheim Alan V. 2001. Sinyal dan Sistem. Erlangga. Jakarta.

4. “TeknikModulasiDigital”,http://meandmyheart.files.wordpress.com/2009/09/k uliah-6-modulasi-digital.pdf, tanggal akses 10 Oktober 2010.

5. Bhasker, J. 2002. A SystemC Primer. Star Galaxy Publishing. California. 6. “SystemCWMS”,http://www.deit.univpm.it/systemcwms/files/doc/slides_ams

workshop.pdf, tanggal akses 29 Juli 2010.

Gambar

Gambar 2.1 Sistem Komunikasi
Gambar 2.2 Sinyal Analog
Gambar 2.3 Sinyal Digital
Gambar 2.4 Proses Modulator
+7

Referensi

Dokumen terkait

Pada saat Peraturan Daerah ini mulai berlaku, Peraturan Daerah Kabupaten Luwu Timur Nomor 5 Tahun 2005 tentang Penerimaan Sumbangan Pihak Ketiga Kepada Daerah (Lembaran

Hasil belajar peserta didik kelas kontrol disajilkan dalam tabel distribusi berikut ini. Tabel 4.15 Hasil Belajar Peserta Didik Kelas Kontrol.. Berdasarkan tabel di atas dari 15

Penyebab dari miskonsepsi sendiri yaitu, (1) konsep yang dimiliki siswa belum lengkap, masih sederhana dan berbeda, (2) beberapa sumber belajar yang digunakan oleh

Hasil penelitian indikator Inisiatif yaitu baik, hal ini menunjukkan bahwa niali-nilai inisiatif di Rumah sakit tersebut mampu di jalankan dengan baik, dan pada dasarnya

Dari beberapa modal yang terkait dengan kegiatan operasional kerja tersebut tentu penyerapan tenaga kerja sangat dibutuhkan perusahaan jasa laundry, karena untuk

Adapun hasil perhitungan yang sudah dilakukan dengan menggunakan metode ELECTRE dapat dijadikan sebagai rekomendasi untuk digunakan pihak perusahaan yang bergerak

Dari hasil pengolahan data dengan menggunakan Software SPSS for Windows 10.0 diperolah informasi bahwa besarnya korelasi antara Social Comparison dengan Body Image Satisfaction

difungsikan untuk pengasihan maka huruf huruf yang digunakan juga huruf huruf yang memang berfungsi untuk pengasihan seperti huruf api dan angin, ketika huruf api dan angin