• Tidak ada hasil yang ditemukan

Josh IDF Berlin 0403

N/A
N/A
Protected

Academic year: 2017

Membagikan "Josh IDF Berlin 0403"

Copied!
37
0
0

Teks penuh

(1)

90 nm and Beyond:

Moore's Law and More

Josh Walden

Fab24 Plant Manager

(2)

Agenda

y

Process Technology Evolution

y

90 nm Logic Process

y

90 nm Communication Process

y

R & D Beyond 90 nm

Intel, Itanium, Xeon, Pentium and

Intel, Itanium, Xeon, Pentium and NetBurstNetBurstare trademarks or registered trademarks of Intel Corporation orare trademarks or registered trademarks of Intel Corporation orits its subsidiaries in the United States or other countries.

(3)

A New Process Every 2 Years

Process Name P856 P858 Px60 P1262 P1264 P1266

1st Production 1997 1999 2001 2003 2005 2007

Lithography 0.25µm 0.18µm 0.13µm 90nm 65nm 45nm

Gate Length 0.20µm 0.13µm <70nm <50nm <35nm <25nm

Wafer (mm) 200 200 200/300 300 300 300

• Intel has been introducing new technology generations on a faster 2 year interval since 1989

• We have technologies in Intel's R&D laboratories that will drive this pace of innovation into the next decade

(4)

Logic Technology Evolution

Each new technology generation provides:

Each new technology generation provides:

~ 0.7x minimum feature size scaling

~ 2.0x increase in transistor density

~ 1.5x faster transistor switching speed

Reduced chip power

(5)

0.13

µ

m Process

Process Name P856 P858 Px60 P1262 P1264 P1266

1st Production 1997 1999 2001 2003 2005 2007

Lithography 0.25µm 0.18µm 0.13µm 90nm 65nm 45nm

Gate Length 0.20µm 0.13µm <70nm <50nm <35nm <25nm

Wafer (mm) 200 200 200/300 300 300 300

In high volume production in multiple factories for >2 years

(6)

90 nm Process

Process Name P856 P858 Px60 P1262 P1264 P1266

1st Production 1997 1999 2001 2003 2005 2007

Lithography 0.25µm 0.18µm 0.13µm 90nm 65nm 45nm

Gate Length 0.20µm 0.13µm <70nm <50nm <35nm <25nm

Wafer (mm) 200 200 200/300 300 300 300

Now using nanometer (nm) instead of micron (µm) Microns are too big! 1 µm = 1000 nm

90 nm coming next!

(7)

Key 90 nm Process Features

y High Speed, Low Power Transistors

– 1.2 nm gate oxide

– 50 nm gate length

– Strained silicon technology

y Faster, Denser Interconnects

– 7 copper layers

– New low-k dielectric

y Lower Chip Cost

– 1.0 µm2 SRAM memory cell size

(8)

90 nm Generation Transistor

50nm

Silicide Layer

Silicon Gate Electrode

1.2 nm SiO2 Gate Oxide

Strained Silicon

50 nm transistor dimension is ~2000x

50 nm transistor dimension is ~2000x

smaller than diameter of human hair

(9)

Transistor Gate Length Scaling

0.01

0.1

1

10

1970

1980

1990

2000

2010

2020

Micron

0.01

0.1

1

10

3.0

.18u

.25

.35

.5u

.8um

1.0u

1.5u

2.0u

.13u

90n

50n

Gate Length Feature Size

Faster gate length scaling to maintain

Faster gate length scaling to maintain

transistor performance lead

(10)

Gate Oxide Scaling

1 10

1990 1995 2000 2005 Gate Oxide

Thickness (nm)

1 10

1.2 nm

Intel leads the industry in gate oxide scaling

Intel leads the industry in gate oxide scaling

Thinner gate oxide increases transistor performance

Thinner gate oxide increases transistor performance 90nm

.13um .18um

.25um .35um

(11)

90 nm Generation Gate Oxide

Polysilicon Gate Electrode

Silicon Substrate

Nanotechnology

Nanotechnology

is here!

1.2 nm SiO2

is here!

Gate oxide is less than 5 atomic layers thick

(12)

Current Flow

Strained Silicon Transistors

Normal Silicon Lattice Strained Silicon Lattice

Normal Silicon Lattice Strained Silicon Lattice Normal

electron flow

Faster electron

(13)

Strained Silicon Transistors

Strained silicon benefits

Strained silicon benefits

• Strained silicon lattice increases electron and hole mobility

• Greater mobility results in 10-20% increase in transistor drive current (higher performance)

• Both NMOS and PMOS transistors improved

Strained silicon process

Strained silicon process

• Intel's strained silicon process is unique in the industry

• No detriments to short channel behavior or junction leakage

(14)

Transistor Performance

0.0 0.2 0.4 0.6 0.8 1.0 1.2 1.4

1990 1995 2000 2005

Drive Current (mA/um)

1 10

Supply Voltage (V) NMOS PMOS 1.2V 90nm .13um .18um .25um .35um Generation

Highest drive current in the industry

Highest drive current in the industry

Reduced supply voltage for lower power

(15)

90 nm Generation Interconnects

7 layers of copper interconnect

7 layers of copper interconnect

– 1 more layer than 0.13 µm generation

– Extra layer provides cost effective improvement in logic density

New low

New low

-

-

k dielectric introduced to reduce wire

k dielectric introduced to reduce wire

-

-wire capacitance

wire capacitance

– Carbon-doped oxide (CDO) dielectric reduces capacitance by 18% compared to SiOF dielectric used on 0.13 µm

(16)

90 nm Generation Interconnects

M7

M6

M5

M4

M3

M2

M1 Low-k CDO

Dielectric

Copper Interconnects

Combination of copper + low

Combination of copper + low--k dielectric now k dielectric now meeting performance and manufacturing goals

(17)

1.0

µ

m

2

SRAM Cell

y Ultra-small SRAM cell used in 90 nm process packs six transistors in an area of 1.0 µm2

y Intel was first in the industry to reach this cell size milestone

y Small memory cell enables cost

effective increase in CPU performance by adding more on-die cache memory

(18)

SRAM Cell Size Trend

0.1 1 10 100

1990 1995 2000 2005

Cell Area (um2)

.71x per year

90 nm

90 nm cell size is less than half

90 nm cell size is less than half

the size of 0.13

(19)

52 Mbit SRAM on 90 nm Process

10.1 mm

10.8 mm

330 million transistors on single chip

330 million transistors on single chip

Highest capacity SRAM in the industry

Highest capacity SRAM in the industry

Perfect chips made with all 52

(20)

Same Process for Logic and SRAM

Logic

SRAM

0.18µm Itanium® 2 Processor

• Microprocessors use same transistors Microprocessors use same transistors and interconnects for Logic and SRAM

and interconnects for Logic and SRAM

• OnOn--die SRAM cache transistor count die SRAM cache transistor count increasing for improved performance

increasing for improved performance

0.18

0.18µµm Xeonm Xeon®® ProcessorProcessor

48M SRAM, 110M total

0.18

0.18µµm Itaniumm Itanium®® 22 ProcessorProcessor

144M SRAM, 220M total

0.13

0.13µµm m Itanium® 2 ProcessorItanium® 2 Processor

288M SRAM, ~500M total

• 52 52 MbitMbit SRAM uses same process for SRAM uses same process for 90 nm microprocessors

(21)

Moore's Law Continues

1,000 10,000 100,000 1,000,000 10,000,000 100,000,000 1,000,000,000

1970 1980 1990 2000 2010

4004

8080 8086

8008

Pentium® Processor

486™ DX Processor 386™ Processor

286

Pentium® II Processor Pentium® III Processor Pentium® 4

Processor Itanium® 2 Processor

(22)

Additional Manufacturing Details

y The 90 nm technology is being developed at Intel's 300 mm fab (D1C) in Hillsboro, OR

y 75% of 300 mm process tools used on 0.13 µm process are also used on the 90 nm process

y The 90 nm process will be ramped to high volume in D1C and transferred to other 300 mm manufacturing fabs, starting in 2003

y The lead 90 nm product will be the processor codenamed

(23)

90 nm Communication Process

y Intel has developed a feature-rich version of its 90 nm process optimized for communication products

y Intel is committed to delivering leading edge communication products in high volume

y The 90 nm communication process takes advantage of the performance and manufacturing capabilities of Intel's

(24)

90 nm Communication Process

Features added for 90 nm communication process:

Features added for 90 nm communication process:

High voltage RF analog CMOS transistors

Precision capacitors and resistors for analog circuits

High-Q inductors and varactors

SiGe heterojunction bipolar transistors (HBTs)

Basic features shared with 90 nm logic process:

Basic features shared with 90 nm logic process:

High performance, low power digital CMOS

transistors using strained silicon technology

7 copper interconnect layers + new low-k dielectric

1.0

µ

m

2

SRAM memory cell size

(25)

Added Analog Circuit Elements

High voltage RF CMOS transistors

High voltage RF CMOS transistors

• Thicker gate oxide allows higher operating voltage which improves signal/noise ratio (dynamic range)

Precision capacitors and resistors

Precision capacitors and resistors

• Two extra masking steps to provide devices with precise control and matching

High

High

-

-

Q inductors

Q inductors

• Thick top copper layer along with high resistance substrate provides high-Q (quality factor) inductor

High

High

-

-

Q

Q

varactors

varactors

(26)

SiGe HBT Transistors

• SiGeSiGe HBTsHBTs added for high added for high bandwidth communication

bandwidth communication

needs

needs

• SiGeSiGe HBTsHBTs provide higher provide higher frequency, higher voltage

frequency, higher voltage

swing and lower noise than

swing and lower noise than

CMOS transistors

CMOS transistors

• Added process steps do Added process steps do not impact digital CMOS

not impact digital CMOS

performance

Emitter Contact

Silicide Layer

N+ Emitter

P SiGe Base

N- Collector

performance

(27)

Additional Manufacturing Details

y

Both communication and logic versions of the

90 nm process are being developed at Intel's

300 mm fab (D1C) in Hillsboro, OR

y

The same 300 mm process tool set is used for

both versions, with the exception of the added

SiGe deposition tool for HBTs

y

Use of the same tool set ensures low cost and

ease of manufacturing

(28)

Beyond 90 nm

Process Name P856 P858 Px60 P1262 P1264 P1266

1st Production 1997 1999 2001 2003 2005 2007

Lithography 0.25µm 0.18µm 0.13µm 90nm 65nm 45nm

Gate Length 0.20µm 0.13µm <70nm <50nm <35nm <25nm

(29)

Planar CMOS Transistor Scaling

Experimental transistors for future process generations

Experimental transistors for future process generations

10nm

10nm

65nm process

65nm process

2005 production

2005 production 45nm process45nm process 2007 production

2007 production 32nm process32nm process 2009 production

2009 production 22nm process22nm process

2011 production

2011 production

Intel R&D groups exploring aggressive scaling of

Intel R&D groups exploring aggressive scaling of

conventional planar CMOS transistors

(30)

Lithography

Challenge: Implement cost effective way to print

ever-smaller dimensions

One Approach: Shrink wavelength of exposure light

248 nm wavelength

manufacturing

193 nm wavelength

near-manufacturing

157 nm wavelength

development

(31)

Extreme Ultraviolet (EUV) Lithography

Extreme Ultraviolet (EUV) Lithography

y

EUV is optical

lithography at 13nm

wavelength.

y

All reflective optical

system; multilayer

mirrors.

y

EUV

α

-tool in

operation.

(32)

EUV Reflective Mask

Structure

Conventional optical photomask

λ

6” Fused silica substrate

λ

Light source

Low Thermal Expansion Substrate

Si (~4.1nm)

Si (~4.1nm)

Absorber Reflective

multi-layer coating 40 pairs Mo-Si

Mo (~2.8nm)

Mo (~2.8nm)

13nm EUV light

(33)

Cross Section of a EUV Fabricated Mask

Cr absorber SiO2 buffer Si capping

Mo-Si ML Film Stack

Quartz substrate

(34)

New materials Extend Performance of

90nm Planar Transistors and Beyond

Gate

Gate

Silicide Silicide added added

Channel

Channel

Strained Strained silicon silicon

Changes

Changes

made

made

Future

Future

options

options

High

(35)

1E-8 1E-7 1E-6 1E-5 1E-4 1E-3 1E-2 1E-1 1E+0 1E+1

0.50 1.00 1.50 2.00 2.50 3.00 3.50

Cox (µF/cm 2 ) J OX @1 V ( A /c m 2 ) SiO2

Al2O3

HfO2

ZrO2

Ta2O5

Better

TiO2

High

High

-

-

k Gate Dielectric

k Gate Dielectric

High

High--k dielectrics provide higher k dielectrics provide higher capacitance and reduced leakage

(36)

Experimental Tri-Gate Transistor

Source

Source

Drain

Drain

Gate

Gate

Source: Intel

Source: Intel

Gate

Gate

Silicon

Silicon

Drain

Drain

Source

Source

y

Improved version of TeraHertz transistor

Better performance

Scalable to smaller sizes (low leakage)

(37)

Summary

y

y

Intel's 90 nm logic technology incorporates these

Intel's 90 nm logic technology incorporates these

industry

industry

-

-

leading features: high performance strained

leading features: high performance strained

silicon transistors, 7 copper layers with low

silicon transistors, 7 copper layers with low

-

-

k dielectric,

k dielectric,

1.0

1.0

µ

µ

m

m

22

SRAM cell, and 300 mm wafers

SRAM cell, and 300 mm wafers

y

y

A feature

A feature

-

-

rich 90 nm communication process has been

rich 90 nm communication process has been

developed that includes the main features of the logic

developed that includes the main features of the logic

process while adding specialized analog device

process while adding specialized analog device

elements and

elements and

SiGe

SiGe

HBT transistors

HBT transistors

y

y

Intel has the world's most advanced 90 nm process and

Intel has the world's most advanced 90 nm process and

will be first to ship 90 nm products in 2003

will be first to ship 90 nm products in 2003

y

y

We still have not found a device physics barrier to

We still have not found a device physics barrier to

extending Moore’s Law beyond 90 nm

Referensi

Garis besar

Dokumen terkait

Hikmahanto Juwana’s negative analysis of the work of the International Criminal Court (Should RI ratify the ICC Statute?, The Jakarta Post, April 2) unjustly implies that the ICC

 Tutor mempersiapkan contoh artikel dan pertanyaan untuk didiskusikan oleh mahasiswa  Tutor mengarahkan mahasiswa untuk melibatkan diri dan aktif dalam kegiatan tutorial 5 Catatan

[r]

Kompetensi Umum : Setelah mempelajari mata kuliah ini mahasiswa diharapkan mampu menjelaskan konsep dan teori tentang administrasi kepegawaian, Fungsi umum

 Tutor mengembangkan Peta Konsep berdasarkan hasil kajian materi BMP dan.. Reanalisis Kompetensi

Kejambon pada Dinas Pekerjaan Umum Kota Tegal akan melaksanakan Pemilihan Langsung dengan pascakualifikasi untuk paket pekerjaan konstruksi secara elektronik

[r]

Berdasarkan Surat Keputusan Panitia Pengadaan Barang/Jasa Pemerintah Satuan Kerja Pengembangan Sumber Daya, Peningkatan Infrastruktur Dan Pembinaan Lembaga Sosial dan Budaya