• Tidak ada hasil yang ditemukan

PERANCANGAN LOW PASS FILTER DIGITALIIR DENGAN FPGA. 2Antonius Irianto. Univ. Gunadarrna ABSTRAK

N/A
N/A
Protected

Academic year: 2021

Membagikan "PERANCANGAN LOW PASS FILTER DIGITALIIR DENGAN FPGA. 2Antonius Irianto. Univ. Gunadarrna ABSTRAK"

Copied!
8
0
0

Teks penuh

(1)

15 PERANCANGAN LOW PASS FILTER DIGITALIIR DENGAN FPGA

1Betty Savitri. Univ. Gunadarma, bsavitri@staff.gunadarma.ac.id 2Antonius Irianto. Univ. Gunadarrna irianto@staff.gunadarma.ac.id 3Dyah Nur'ainingsih. Univ. Gunadarma dyahnur@staff.gunadarma.ac.id

ABSTRAK

Penelitian ini dibuat dengan merancang sebuah filter digital yaitu low pass filter IIR (Infinite Impulse Respon) jenis Butterworth orde 3. Perancangan filter ini selanjulnya dapat di imp/ementasikan pada Field Programmable Gate Array (FPGA). Pada penelitian ini merupakan dasar untuk mengimplementasikan a/goritma ke da/am FPGA yang telah dibangun dengan menggunakan perangkat /unak

MA

TLAB, karena

MA

TLAB merupakan salah . satu alat untuk simu/asi desain filter. Rancangan filter ini memiliki spesifikasi sebagai berikut: melewatkan pita frekuensi dengan respons frekuensi rata, turun sampai dengan - 3dB dart 0-220 KHz dan pada frekuensi 300 KHz diinginkan sinyal diredam minimum 10 dB. Filter tersebut direncanakan bekerja bekerja pada frekuensi sampling

1

MHz. Sinyal input yang diberikan pada saat simu/asi dengan MATLAB yaitu :x(t)

=

sin (21f 2000 t)+sin (27T350000 t). Diharapkan dengan spesifikasi yang diinginkan, filter ini dapat bekerja dengan baik karena dengan

2

sinya/ masukan (sinya/ uji) yang mempunyai frekuensi 2000 Hz dan 350000 Hz, hasil ke/uaran memperlihatkan bahwa sinyal dengan frekuensi 350000 Hz dapat diredam dengan baik.

Kata Kunci: low pass filter, /fR, FPGA, MatLab, Butterworth

PENDAHULUAN Latar Belakang

Rangkaian elektronika ini dibangun melalui beberapa tahap antara lain perancanqan, perakitan, dan pengujian. Perancangan dilakukan dengan mengetahui kebutuhan dan kegunaan dari rangkaian. Simulasi pad a sa at perancangan diperlukan untuk dapat memperkecil tingkat kesalahan pad a saat rangkaian dilakukan perakitan. Sehingga dapat menekan biaya kegagalan dari rangkaian elektronika yang dirancang dan dibangun. Dewasa ini perangkat lunak sebagai media simulasi dari rancangan rangkaian elektronika mengalami perkembangan yang beragam. Hal ini didukung pula dengan kemudahan implementasi perangkat lunak yang dapat diprogram seperti modul Field Programmable Gate Array (FPGA). Pada penelitian ini sebagai dasar dalam mengimplementasikan algoritma yang telah dibangun ke modul FPGA. Algoritma yang dibangun dengan perangkat lunak MATLAB.

Kebanyakan dari desain perangkat keras dilakukan pad a teknologi terbaru seperti FPGA untuk tugas kecepatan dan desain ringkas. Di dalam rangkaian ini, kebanyakan dari filter itu dapat didesain menggunakan teknologi di dalam suatu chip. Menerapkan desain perangkat keras di Field Programrnable Gate Arrays (FPGAS) adalah suatu tugas yang hebat. Ada cara lebih dari satu untuk menerapkan filter digital jenis IIR (Infinite Impulse Respon). Spesifikasi desain filter didasarkan pad a pilihan metoda implementasi dan dapat menyimpan banyak waktu dan pekerjaan. MatLab adalah salah satu alat untuk simulasi desain filter. Ada toolboxes yang tersedia untuk menghasilkan VHDL mengurangi waktu yang diperlukan untuk menghasilkan suatu solusi.

Batasan Penelitian

Perancangan sebuah filter digital yaitu low pass filter dengan spesifikasi sebagai berikut: melewatkan pita frekuensi dengan respons frekuensi rata, turun sampai dengan - 3 dB dari 0-220 kHz dan pad a frekuensi 300 kHz diinginkan sinyal diredam minimum -10 dB. Filter

(2)

16

tersebut direncarlakan bekerja bekerja -pad

a

frckucllsl Sdl;lpTing 1 MHz. SinyaT"-inJJul-yang diberikan pada sa at simulasi dengan MATLAB :x(t) = sin (2rc 200Ot) + sin (2rc 350000t)

Tujuan Penelitian

1. Membuat filter digitcll jenis Low Pass Filter IIR Butterworth orde 3 yang dapat diimplcmentasikan pada r:-PGA dengan sirnulasi M/\ TI../\B.

2. Mewujudkan sebuah filter yang nantinya dapat dipakai untuk penqernbanqan. dalam pengolahan audio dan komunikasi multimedia.

TINJAUAN PUSTAKA

Filter IIR dari (Infinite Impulse Response) adalah salah satu tipe dari filter digital yang dipakai pad a aplikasi Digital Signal Processing (DSP). Keuntungan filter IIR antara lain adalah membutuhkan koefesien yang lebih sedikit untuk respon frekuensi yang curam sehingga dapat mengurangi jumlah waktu komputasLSecara urnurn fungsi transfer dari filter digital IIR

(Infinite

Impulse Response) orde L[Smith, 1995] adalah sebagai berikut:

H(z)

=

B(z)

=

b

o

+

~Z-l

+ +

bLz-

L

A(z)

1+a

1

z-

1

+

+aLz-

L

... (1) dimana:

-H(z) merupakan fungsi transfer dari filter IIR

- a1, a2, , aN merupakan koefisien feed back dari filter IIR - bO,b1, bN merupakan koefisien feed forwad dari filter IIR

Dalam domain waktu hubungan masukan keluaran filter digital IIR dapat dirumuskan sebagai berikut: I. !. )It-

=

L

b••

Xlc_K -

L

ally"_,,

,,-0 JI-1 ................... (2) y[n) Unt Dei.)'! y{n-2] IX! 62

Gambar 1. Diagram skematik filter IIR. [Rorabaugh and Britton, 1993]

Berdasarkan persamaan (2) diagram IIR di atas, dapat dijabarkan dengan persamaan :

yen)

=

bo x(n) + b]X(ll-l)+ b2x(n-2)

+

3]y(n-l)

+

32y(n-2)

... (3)

(3)

17 Berdasarkan teknik desainnya filter IIR dapat dikategorikan dalam beberapa teknik desain. Sedangkan filter FIR (Finite Impulse Response) pada dasarnya mempunyai 2 metode desain yaitu dengan jendela (window) dan pitajamak dengan pita transisi (multiband with transition

bands). Teknik desain filter digitalllR didasarkan pada transformasi bilinear dari prototipe fungsi

transfer analog. Fungsi transfer analog biasanya salah satu dari tipe fungsi transfer: Butterworth, Chebyshev Tipe 1, Chebyshev Tipe 2 dan Elliptic (atau Cauer) Perbedaan antara tipe-tipe filter tersebut dapat dijelaskan dengan melihat filter lolos bawah (iowpass) analog seperti dibawah ini [Antoniou, 1993].

a. Fungsi transfer lolos bawah Butterworth mempunyai tanggap magnitude mendatar maksimum dan tanggap magnitude berkurang secara mendatar dengan frekuensi bertarnbah.

b. Fungsi transfer lolos bawah Chebyshev Tipe 1 mempunyai tanggap magnitude dengan riak setimbang pada pita lolos dan tanggap magnitude berkurang secara mendatar dengan frekuensi bertambah di sebelah luar pita lolos.

c. Fungsi transfer lolos bawah Chebyshev Tipe

2

mempunyai tanggap magnitude berkurang secara mendatar pada pita lolos dengan frekuensi bertambah dan tanggap magnitude riak setimbang pad a pita cegah.

d. Fungsi transfer lolos bawah Elliptic mempunyai tanggap magnitude riak setimbang pad a kedua pita, pita lolos maupun pita cegah.

METODE PENELITIAN

Pada rancangan ini dikekehendaki bahwa frekuensi sampling, fs

=

1MHz, maka perioda sampling adalah, Ts = 10-6 detik. Respons magnitudo dari filter digital yang diinginkan dalam

soal dapat digambarkan sebagai berikut

d

"r-'-_

1<'

,

,

,

,

,

,,

I I K_ ---~--- -I I

~---~'~--~-

r.,

-

---~

Gambar

2.

Respons magnitudo filter digital yang dirancang

Dimana, 01

=

(211:f1)/fs

=

(211:220 k)/1 M

=

0,44 11:rad -7 K1 ~ -3dB dan

[12

=

(211:f2)/fs

=

(211:300 k)/1 M

=

0,6 n rad -7 K2 s -10d

Bila dilakukan transformasi ke bentuk filter analog , maka gambar

2

dapat dinyatakan seperti gambar 3 berikut:

(4)

18

dB

Gambar 3.Respons magnitudo filter analog yang dirancang

2

0)

044

7

l'_

°1

=

Ts

tan-t

= 2000000tan-

'

-2-1

~I

!

U'l

l

l

DC

:

1

I

o

2

0)2

0,67l'

-

-

n

02

=-tan-=2000000tan

-

-

I

.

l

l

IIII

um

n

r

T

2

2

'

s

Dan bila dilakukan transformasi ternormalisasi, maka gambar 3 dapat dinyatakan sebagai

berikut: Dimana

dB

nt--_~

K

.

,

I I I I I I I I I __________ L _ I I I I

o

.

Gambar 4. Respons magnitudo filter ternormalisasi

°

2.75276

*

10

6

Dimana

Or

=

_2

!

I

JU

L!U:

J

01

1

.

65454*10

6

Orde filter low-pass Butterworth analog dapat ditemukan dengan menggunakan rumus sebagai

berikut:

(5)

19

log[(10

-

K,

I

IO

_1)110

-

K,

l

Io

-I)]

log[(IOo,3

-

1)110

1

-I)]

"c-.

n

=

=

.

I)I.•

n

,

1 1

2 loge· .) 2

log(

--

-

)

Or

1

,

66376

Dengan melakukan pembulatan ke atas, maka diperoleh nilai n

=

3 dengan merujuk kepada

tabel denominator polynomials Butterworth dioeroleh persamaan seperti tertera dibawah ini :

B; (s)

=

(1

+

s)(1

+

s +

S2)

sehingga untuk Filter Low-Pass orde 3 ternormalisasi berlaku:

1

1

H'if(s)=--=

-

--

--

-p.

Bn(s)

(1+s)(I

+

s

+

s2)

Dengan mengganti variable s dengan s/Qlmaka pada Filter Low-Pass analog hasil disain

diperoleh:

=-

---

--

-

-

--

--

--~

----

--

---

-

---.

--

-

--

-

~

(I+C654

t

4'

10'

)

X

I{6545

:

'

10'

)+(6545:' 10'

n

Transfer function H(z) dari Filter Low-Pass digital yang direncanakan diperoleh dengan

mengganti variable S dengan 2(1 - z·1)/(T(1 + Z·1», yaitu:

H(z)

=

H

LPF(S>!.=3.(I-Z") = T(l+z")

1

=

---

-

---

---

--

-(I

+

(16:

:

:::10' (:

:~

~))ll

+(

16:

:

51::10'

(~

~~

~

16:::::10

~

)

H

'

(:

:

~

:

:

))

'

]

I

=---

-

---

-

.

--~-

--

--

-

-

-

--

-

-

-

----

--

---

---

-

--

~

(I+20879{::

~

-

:))

1

1 +20879{: :~

:

: JHI.46118{:

:

~

:

:

)

)'

j

(1+z

-

1)2

=----~~----

-

---~

-

-

~

.

---

-~~~---

-

---

-

---

----

----

--

-[(1 + Z

-

1)2

+

1

.

20879:{1

-

Z--

1

)(1+ Z-l )

]

[(1

+

Z

-

1)2

+

1

.

20879:{1-

Z-I)(1

+

Z-I)

+ 1

.

46118:{1 +

Z-I):

1+2z-'+Z

-

2

11(z)

=

9.653678

-

5.5747

4

-

;

-:

:

'

-

1

.

192485z

-

2

+0

.

42538

-

z-

3

-0

.

46

118

5'z

-;

'

-Bentuk urnum persarnaan transfer function H(z) dapat ditulis sebagai berikut :

H(z)

=

B(z)

=

bo + b,

Z-I

+ b

2 Z-2

+

,

.

.

+

bn z-n

A(z)

ao

+

a

l

z "

+

a

2 Z-2

+

.

.

.

+ an z-n

Suatu filter digital dapat juga dispesifikasikan dengan menggunakan persamaan beda standar

yang mempunyai bentuk umum sebagaiberikut :

N, N,

yen)

=

2:)k

x(n -

k)

-

2:>k

yen

-

k)

k=O k='

(6)

20

Dimana ak dan

b

,

didapat dari persamaan umum transfer function H(z). Apabila ao dibuat

. • menjadi sama dengan satu (ao = 1), maka persamaan transfer function H(z) dari Filter Low

-Pass digital yang direncanakan menjadi :

H

7

=

0

.

103

5

9

+0.

2

071

8

z

-

1

+0.

~

0359z

-

2

0_

(z )

1+ 0.5

77

48

7

Z·ol -

0

.

12353

Z-2

+ 0.044065

Z-3 -

0

.

04

7

7

7

z

-

~

Sehingga persamaan bed a y(n), dari Filter Low-Pass digital yang direncanakan menjadi •

y(n)

=

0.10359 x(n) +0.20718 x(n-1) +010359 x(n-2)- 0.577487 y(n-1) + 0.12353

y(n2) - 0.044065 y(n-3) +0.04777 y(n-4)

Realisasi rangkaian dari persamaan beda diatas dapat dilihat pada gambar 5.

x(n} 01015'} ~ y(n}

T'

.

i

.

z

Q~

.

'

00"

~m'"

~

I.:

I

z'

0.10359 0.1~1';3~ ~- •----<J--o~

t

0.04777 i'

Gambar 5.Realisasi rangkaian

Untuk menampilkan sinyal input dan output dalam domain waktu dan frekuensi, serta respons

frekuensi (response magnitudo dan response phase) filter hasil disain digunakan alat bantu

Matlab, yang hasilnya sebagai berikut :

a) Gamtar respon magnitudo kuadrat dari filter hasil rancangan

RtsponM.gnltudo Kuadt,1FlltefHullRal\Cangan

\

... ,

\

.

'

\

4

\

-v-: ...

\

:

\

• 1

-

.

.: :--.. 0 0.08 0.07 0.(6 "'-~ ";005

~

.

.

1

0.0 g"-O.03

~

002 0.0 o 0.5 1.5 2 2.5 3 3.5 Ffckuensl lH••dmn) 4.5 5

Gambar 6. Respons magnitudo kuadrat filter hasil rancangan

b) Gambar res pan magnitudo dalam skala dB dari filter hasil rancangan

(7)

Rospon Magmtudo FilletlIasi!RancOingan (Sk"IOIdU) 21 Ii! ' ·10r---.;----:._ .

--

-

-

~

j".20-- .. '-- ..---- ..:..---- ' -- --"""'-'~

-f

:

.

.

..

1...•••.

.

:••...••.. ,.... ....•• '\ •..

s

~ -5Or·· ..--;....·....•,--·--·....·,..--..·..··;----·--.. ·,-- ..···,'\ ..--;--..·· -1 -70~·····--· .."..·i.. ,' ii: -- •••.. ,•..••• ----, ·fir·· ..'·· ·,· ·..,--···,···--..·'1 .•.... , ..--... 1 O. 0.5 1.5 .2 2.5 Frekucnsi (Radian) 3.5

Gambar 7.Respons magnitudo filter hasil rancangan (skala dB)

c) Gambar respon phase dari filter hasil rancangan

Respcn Phase filter Hasil Ranc.angan

~

~

!:

:

~

n n.~ 15 2S

I',.,"U",UI' (llo\lh,UI)

Gambar 8.Respons phase filter hasil rancangan

d) Gambar sinyal masukan

Sinyal Masukan

.3L_-ii__~I~~i __ ~I__ -LI__ ~i~.J- __~I__ ~I 1

n 07 04 0.0 0nIl? 1& 10 2

WoklU.I(d.lik) •10"

Gambar 9. Sinyal masukan x(t) dengan 2 komponen frekuensi ( 2000 Hz dan 350 kHz)

e) Sinyal Keluaran Tersampling

(8)

22

Sinyal Masukan lafsampling

Gambar 12.Sinyal Masukan Tersampling x(n)

f) Spektrum sinyal keluaran

Spektrum Sinyal Keluaran 0.35 EO )( Ti o. ~' -.;0.1

~

,

-

-

--

-

--. , ~ <, ~ . 2 ...

<

,

• 5 •

-

,

~

.

.... 1

~

.

0

:

""

'

~

0.3 0.25 o. 0.05 o 05 1 1.5 2 2.5 3 3.5 • 45 5 FlekUlnli (Hl) .10"

Gambar 17. Sinyal keluaran y(t) dengan 1 komponen frekuensi ( 2000 Hz)

KESIMPULAN DAN SARAN

1, Filter ini dapat bekerja dengan baik pada daerah frekuensi 0-220 kHz

2. Terbukti dengan 2 sinyal masukan (sinyal uji) yang mempunyai frekuensi 2000 Hz dan

350 kHz, hasil keluaran memperlihatkan bahwa sinyal dengan frekuensi 350 kHz dapat

diredam dengan baik.

3. Rancangan ini selanjutnya dapat dibuat dalam bentuk chip.

DAFTAR PUSTAKA

Antoniou, A., 1993, Digital Filters: AnaJisys, Design, and Applications, McGraw- Hi\l, New York

Chi-Jui Chou, Satish Mohanakrishnan, 2008, FPGA IMPLEMENTATION OF DIGITAL

FIL TERS, http://www.ittc.ku.edu/Projects/FPGNDigitaLFilters.pdf

Rorabaugh and Britton, C., 1993, Digital Filter Designer's Handbook, Tab Books/McGraw- Hill,

New York

Smith, J.O., 1995, Introduction to Digital Filter Theory, in Digital Audio Signal Processing: An

Anthology (J. Strawn, ed.), William Kaufmann, Inc., California

Tri Budi Santoso, 2008, Implementasi Filter IIR secara Real Time pada TMS 32C5402,

http://lecturer .eepis-its. eou/-tnbud i!tbs_~apeUlmiah/paper J_II R.pdf

Wahyu Kusuma Raharja, 2008, Simulasi Rancangan Filter Butterworth Menggunakan

XILlNX-ISE 8.1i Dan MODELSIM 6.1b, Proceeding, Seminar IImiah Nasional Komputer dan

Sistem Intelijen (KOMMIT 2008)

Yokara, 2008, Implementation of Digital Filter in FPGA

http://www. ittc.ku.edu/Projects/F PGAIDigital_Filters.pdf

Gambar

Gambar 1. Diagram skematik filter IIR.
Gambar 2. Respons magnitudo filter digital yang dirancang
Gambar 4. Respons magnitudo filter ternormalisasi
Gambar 6. Respons magnitudo kuadrat filter hasil rancangan
+3

Referensi

Dokumen terkait

Tujuan dari penelitian ini adalah untuk mengetahui peran Perpustakaan Desa Ngudi Kawruh dalam menarik pengguna perpustakaan, dan hambatan- hambatan yang dihadapi oleh

Obat lini pertamaRisperidonantagonis pada reseptor serotonin tipe 2 (5-HT2) dan reseptor dopamine tpe 2 (D2)lebih efektif dan lebih aman dalam mengobati gejala psitif

Tentang perubahan sistem setelah tahun 1968 hingga sekarang tidak perlu dibahas, karena tidak ada hubungannya dengan IPBA (secara langsung) dan memang sudah

Dapatan data yang diperolehi ini akan dianalisis oleh penyelidik bagi menjawab persoalan kajian ini Daripada data yang diperolehi adalah diharapkan agar penyelidik dapat

• Susah untuk dirasai jika pemulaan labour atau jika forewater cetek dan membrane melekat pada presenting part.. • Jika presenting part tidak applied secara baik ke

Energi termal atau kalor ( Q) adalah energi yang mengalir dari benda yang satu ke Q) adalah energi yang mengalir dari benda yang satu ke benda yang lain karena

KI 4 4 Melaksanakan Melaksanakan tugas sp tugas spesifik, esifik, dengan dengan menggunakan menggunakan alat, alat, informasi, informasi, dan dan prosedur

Salah satu azas penyelenggaran Upaya kesehatan masyarakat pada Promosi Kesehatan di Puskesmas Akreditasi adalah melalui Advokasi yaitu upaya atau proses yang